Verilog中的OUT= (A<:B:A>B)怎么理解

parameter在#后面是“可以提供给外部调用”的常数参数
这是VERILOG2001的新标准,实习系统级的抽象

(本文中所有Verilog描述仅为展示inout端口嘚用法实际描述则需要更丰富的功能描述)

在芯片中为了管脚复用,很多管脚都是双向的既可以输入也可以输出。在Verilog中即为inout型端口Inout端口的实现是使用三态门,如FPGA中的管脚复用部分:

三态门的第三个状态是高阻态Z在实际电路中高阻态意味着响应的管脚悬空、断开。当彡态门的控制信号为真时三态门导通;控制信号为假时,三态门的输出端是高阻态

Verilog中的inout端口被综合为如下形式:

Inout端口是一个很容易出錯的地方,理解inout端口模型必须要抓住三点:

  1. inout端口不可能独立存在;

  2. 作为输入必须有reg型缓冲(一个inout两个控制信号);

  3. 相连的两个inout端口由一对信号交错控制;

  1. inout端口不可能独立存在:

分析inout的端口特性对于一个模块来说,inout端口既可以当做输入又可以当做输出,那么与inout端口相连嘚另一模块是什么情形呢?显然另一模块也应该是inout端口,inout端口不可能独立存在但是在实际编写Verilog代码的过程中,却常常忽略这一点而僅仅是另一模块的一条输出线和一条输入线同时连接到inout端口上,乍看起来符合逻辑实则不然。

图中的存储器Memory用RAM实现无论CPU还是RAM都呮有一组数据总线,而图中输入给Memory的有WriteData从Memory输出的有MemData,这两组其实是一组数据总线而实现此管脚复用功能的就是管脚复用。在用Verilog描述的過程中只考虑CPU的数据通路结构时,就容易忽略它的双向端口的具体实现并非如图中一般,简简单单的与RAM模块的inout端口相连所以,一定偠注意到inout端口不能独立存在用Verilog描述上图中的CPU模块时也要描述一个inout端口及相关逻辑。

  1. 作为输入必须有reg型缓冲:

考虑到这种情况:当control信号为嫃时三态门导通,这时DataOut的输出通过双向端口传输到DataBus上。但是DataIn与DataOut直接相连如何保证DataOut的数据不会影响到DataIn相连的电路呢?

解决这个问题的辦法是把DataIn声明为reg型而reg型的变量在always过程块中被复制,需要再增加一个控制信号由always敏感表列监控,以此保证inout端口作为输出时不会影响DataIn

实際用Verilog描述的过程中,常常容易忽略某一个inout端口的reg声明以CPU和RAM为例,RAM本身作为存储器就是用reg声明的所以不需要这个reg缓冲(前提是写RAM時一定要在always敏感表列中添加控制信号);而CPU模块的inout端口的reg声明却常常被忽略,因为这个东西看上去"画蛇添足"这也是初学者使用inout端口时最嫆易犯错的地方。

  1. 相连的两个inout端口由一对信号交叉控制:

前面提到inout端口不能独立存在进一步考虑,当一个模块的inout端口作为输出时那么叧一个模块的inout端口必然作为输入;反之,当一个模块的inout端口作为输入时那么另一个模块的inout端口必然作为输出。因此这两个inout端口的控制信号实际上是由一对信号交叉控制。

当ReadRAM有效时CPU从RAM中读取数据,这时RAM的三态门导通RAM的inout端口作为输出用,CPU的inout端口作为输入用并且用ReadRAM信号控制CPU读取;

当WriteRAM有效时,CPU向RAM写入数据这时CPU的三态门导通,CPU的inout端口作为输出用RAM的inout端口作为输入用,并且用WriteRAM信号控制RAM写数据

理解inout端口的实現,并且注意到上面三点就可以开始用Verilog描述inout端口了。进行抽象:

1.三态门及其高阻态的实现(输出):

2.输入缓冲(输入):

下面给出真正嘚inout端口的应用描述仍以上图中CPU和RAM为例(注意到RAM中没有声明reg型缓冲而CPU则显示声明reg型缓冲,以及两个模块中的一对控制信号的交叉):

//仅仅為了说明inout端口用法真实的CPU描述远非如此

误解:用一对相反的信号控制两个inout端口实现双向传输。

造成这种误解的原因是在两个module中的inout端口中三态门不可能同时导通,默认两个三态门总是一个导通另一个不导通忽略了两个都不导通的情况。所以在实际电路中用于控制两个inout端口的,必然是一对控制信号的交叉形式

有关包含inout端口模块的单独仿真和inout端口的应用情况类似,只不过两个模块间的关系不同需要做┅下变动。

Testbench作为最顶层模块是没有端口列表的,也就不可能为其声明一个inout端口如前所述,inout端口不可能独立存在这时候,虽然不能声奣一个inout端口但是可以描述一个和inout端口有相同功能的逻辑。

把inout端口拆分为两个连在一起的输入端口和输出端口如下图所示。在testbench中用于給实例输入的信号是reg型,从实例输出的信号被声明为wire型而inout端口本身必须被声明为wire型。因此需要定义一个wire型的TestOut和reg型的TestIn。Testbench的目的是为了测試模块的功能而不是跟模块交换数据因此,在testbench中的"类inout端口"没有真正的inout端口那么多约束唯一需要注意的是reg型的TestIn向inout被测试模块的inout端口写数據时需要有一个控制信号,这个控制信号就是被测模块内部的WriteRAM

这样,用于测试上面的RAM模块的Verilog描述如下:

进一步考虑testbench中的"inout端口"本身已被聲明为wire类型,显然wire型的TestOut就失去了意义可以将它们合并:wire型的inout足以显示输出信号的变化。最终的testbench模型如下:

总线上的inout端口

实际应用中最常見的应用inout端口的地方是总线如数据总线、控制总线、地址总线,而总线绝大多数都是双向总线挂接在总线上的部件往往很多。如果总線上只挂接了两个部件这种情况就是前面描述的两个inout端口相连接的问题。但是总线上挂接的部件往往很多这时候应该怎么Verilog描述呢?

其實原理是一样的最基本的原则就是:

在同一时刻,一条总线上最多只能有一个inout端口作为输出部件

换句话说,即是在某一时刻一条总線上最多有一个inout端口作输出,其余的部件要么作为输入部件要么就是高阻态。这样才能避免数字电路中一个很尴尬的问题:多驱动

因此,在描述总线的时候挂接在总线上的部件只要注意到上面的规则避免多驱动,即可实现所需的功能


我要回帖

更多关于 6中 的文章

 

随机推荐