FPGA中,如何利用DDS产生什么是占空比比可...

  信号发生器是一种常用的信号源,广泛应用于通信、测量、科研等现代电子技术领域。信号发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL)、直接数字合成技术(DDS)。DDS 是开环系统,无反馈环节,输出响应速度快,频率稳定度高。因此直接数字频率合成技术是目前频率合成的主要技术之一。文中的主要内容是采用FPGA 结合虚拟仪器技术,进行DDS 信号发生器的开发[1-2]。
  1 DDS 工作原理
  图1 是DDS 基本结构框图。以正弦波信号发生器为例,利用DDS 技术
  针对&网络石英晶体参数测试系统,采用以STM32F103ZET6型ARM为MCU控制DDS产生激励信号。该测试系统相对于传统的PC机测试系统具有设备简单、操作方便,较之普通单片机测试系统又具有资源丰富、运算速度更快等优点。AD9852型DDS在ARM控制下能产生0~100 MHz扫频信号,经试验数据分析得到信号精度达到0.5&10-6,基本满足设计要求。该系统将以其小巧、快速、操作方便、等优点被广泛采用。
  产生正弦激励信号一般可以通过振荡电路或直接数字频率合成器(Direct
  1.引言
  DDS频率合成器具有频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);频率切换速度快,可达us量级;频率切换时相位连续的优点,可以输出宽带正交信号,其输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形;全数字化实现,便于集成,体积小,重量轻。
  本文介绍了DDS的基本原理,同时针对DDS波形发生器的FPGA实现进行了简要介绍,利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。
  2.DDS波形发生器的
  1971年,美国学者TIERNCY J、TADER C M和GOLD B在《A Digital Frequeney Synthesizer》一文中提出了以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成原理,称之为直接数字频率合成器DDS(Direct Digitial Frequency Synthesis)[1].这是频率合成技术的一次重大革命,但限于当时微电子技术和数字信号处理技术的限制,DDS并没有得到足够的重视。随着现代超大规模集成电路集成工艺的高速发展,数字频率合成技术得到了质
  文中介绍的干扰器能够产生3种干扰信号:随机干扰、点频干扰和扫频干扰,其中点频干扰和扫频干扰是基于单片机对DDS芯片AD9852的控制产生,整个系统的控制灵活、高效。测试结果表明,系统能够准确产生所需要的干扰信号,满足抗干扰性能测试的需要。虽然本设计产生的干扰信号位于406 MHz频段,但这样的电路结构也可用于其它频段(需修改VCO、PLL等电路),例如手机通信频段,因此本电路结构对其它频段的应用同样具有借鉴意义。
  随着电子设备的使用越来越普遍,电子设备之间的干扰问题也越来越突出,特别是通信设备的
  介绍了直接数字频率合成(DDS)的结构和原理,并将DDS技术应用于短波射频通信频率源中。实现了一种基于单片机+DDS可编程低噪声频率源,输出信号范围46.5~75 MHz.实验结果表明,该频率源具有频率分辨率高、相位噪声低等优点,满足短波射频通信系统对频率源的设计要求。
  频率源是现代短波射频通信系统的核心,对整个系统的正常运行起着决定性的作用。作为射频电路与系统的核心设备,频率源的好坏关系着整个系统的稳定性。现在的频率合成技术正朝着杂散和相位噪声更低的方向发展,同时还要求有更宽的频带和更高的频率
  摘要:基于零中频正交解调原理的频率特性测试仪,用于检测被测网络的幅频特性和相频特性。系统采用集成数字直接频率合成器AD9854产生双路恒幅正交余弦信号,作为扫频信号源,以FPGA为控制核心和运算平台,结合滤波器、放大器、混频器及ADC电路,实现对双端口网络在1-40MHz频率范围内频率特性的点频和扫频测量,并在LCD屏上实时显示相频特性曲线和幅频特性曲线。
  AD9854数字合成器是高度集成的器件,它采用先进的DDS技术,片内整合了两路高速、高性能正交D/A转换器,在高稳定度时钟的驱动
&&&&&&&&&&
  摘要:随着通信行业以及数字技术的不断发展,市场上经常需要多模通信信号或多制式数字调制信号发生器,本文介绍了采用软件无线电思想,基于“DDR2+FPGA+DAC+DDS+宽带调制器”的硬件结构的信号发生装置,实现了TD-SCDMA、WCDMA、TD-LTE、FDD-LTE等多模信号以及BPSK、QPSK、OQPSK、DQPSK、8PSK、16QAM、32QAM、64QAM、2FSK、4FSK、GMSK等数字调制信号的发生,能很好满足现代信号模拟的实际需求。
  1 引言
&&&&&&&&&&
  一、为啥要说任意分频
  也许FPGA中的第一个实验应该是分频实验,而不是流水灯,或者LCD1602的&Hello World&显示,因为分频的思想在FPGA中极为重要。当初安排流水灯,只是为了能让大家看到效果,来激发您的兴趣(MCU的学习也是如此)。
  在大部分的教科书中,都会提到如何分频,包括奇数分频,偶数分频,小数分频等。有些教科书中也会讲到任意分频(半分频,任意分数分频)原理,用的是相位与的电路,并不能办到50%的占空比,也不是很灵活。
  但没有一本教科书会讲到精
  1 引言
  频率源是所有电子系统(雷达、通讯、测控、导航等)的基本信号来源,其主要包括固定频率源和合成频率源两类。其中合成频率源又称频率合成(综合)器,按其构成方式可分为直接式和间接式。采用锁相环(PLL)技术的间接频率合成器目前应用最为广泛。直接模拟频率合成器(DAS)采用倍频器、分频器、混频器及微波开关来实现频率合成,具有最优的近端相位噪声和高速捷变频特性,但结构复杂、成本昂贵的特点限制其只能应用于雷达等高端领域。直接数字合成器(DDS)目前也得到了广泛应用,但高性能DDS产品的输出频率还有待
  1 引言
  直接数字频率合成(DDS)是近几年一种新型的频率合成法,其具有频率切换速度快,频率分辨率高,以及便于集成等优点。在此,设计了基于DDS的频谱分析仪,该频谱分析仪依据外差原理,被测信号与本征频率混频,实现信号的频谱分析。
  2 系统设计
  图1给出系统设计框图,主要由本机振荡电路、混频电路、放大检波电路、频谱输出显示电路等组成。通过单片机和现场可编程门阵列(FPGA)共同控制AD985l,以产生正弦扫频输出信号,然后经滤波、程控放大得到稳定输出,与经放大处理的被测信号混频,再经放
  摘要:本文介绍了一种C波段宽带捷变频率综合器的设计方法,采用直接数字频率合成器(DDS)实现频率捷变,采用倍频链路扩展输出带宽,通过与锁相环(PLL)合成产生的本振信号混频将输出频率搬移到C波段。论述了DDS时钟电路、倍频链路以及混频部分的设计方法,并给出了达到的主要技术指标和测试结果。
  频率合成器是现代通讯系统必不可少的关键电路, 是电子系统的主要信号源,是决定电子系统性能的关键设备。随着系统对频率源的频率稳定度、频谱纯度、频率范围和输出频率个数的要求越来越高,高稳定、低相位噪声、
&&&&&&&&&&
摘要:传统的多路同步信号源常采用单片机搭载多片专用DDS芯片配合实现。该技术实现复杂,且在要求各路同步相干可控时难以实现。本文在介绍了DDS原理的基础上,给出了用Verilog_HDL语言实现相干多路DDS的工作原理、设计思路、电路结构。利用Modelsim仿真验证了该设计的正确性,本设计具有调相方便,相位连续,频率稳定度高等优点。
关键词:DDS;现场可编程门阵列(FPGA);相位累加器;Verilog_HDL
实现信号源的多路同步输出且各路间拥有固定的相位关系,在雷达、通信等多领域有着重要的应用。
摘要 基于直接数字频率合成技术DDS的原理,分析了影响DDS频率输出的核心因素。在此基础上仿真验证了相位累加器的位数对DDS频率输出的作用。介绍了一种DDS芯片AD9852并基于这种芯片提出了一种雷达回波模拟器的设计,并分析了DDS芯片的优缺点。该设计能够稳定地产生70 MHz载频的雷达回波,较好地模拟出所需回波。
关键词 DDS;相位累加器;AD9852
直接数字频率合成技术(DDS)是继直接频率合成技术和锁相环式频率合成技术之后的第三代频率合成技术,它的原理是在采样频率一定的条件下,通过控制两次连
摘要 针对π网络石英晶体参数测试系统,采用以STM32F103ZET6型ARM为MCU控制DDS产生激励信号。该测试系统相对于传统的PC机测试系统具有设备简单、操作方便,较之普通单片机测试系统又具有资源丰富、运算速度更快等优点。AD9852型DDS在ARM控制下能产生0~100 MHz扫频信号,经试验数据分析得到信号精度达到0.5×10-6,基本满足设计要求。该系统将以其小巧、快速、操作方便、等优点被广泛采用。
关键词 石英晶体;DDS;AD9852;STM32F103ZET6
DDS的简单介绍
  DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。
  一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算
dds相关帖子
dds资料下载
Copyright (C) ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
京ICP备号-2 北京市公安局备案:&&&&京公网安备73设计技术&&
|||||||||||||||
|||||||||||
基于FPGA的流水线结构DDS多功能信号发生器的设计与实现
关键词:,,,
时间: 17:28:22&&&&&&来源:互联网
随着现代电子技术的不断发展,在通信系统中往往需要在一定频率范围内提供一系列稳定和准确的频率信号,一般的振荡器已不能满足要求,这就需要频率合成技术。是第3代频率合成器,它采用全数字技术,具有频率切换时间短、频率精度和分辨率高、输出相位连续、易于控制等优点。现场可编程门阵列()器件具有工作速度快、集成度高、可靠性高和现场可编程等优点,并且支持系统现场修改和调试,采用设计的具有电路简单,性能稳定等特点,也能满足绝大多数通信系统的使用要求。而在的设计当中,传统的累加器采用多位全加器直接相加的方式来实现,但随着频率控制字位数的增加,系统的实时性会大幅降低。针对这一问题,本文提出了一种结构的,该结构能够缩短运算时间,提高整个系统的实时性。本文介绍了的基本原理,阐述了基于结构的累加器的设计方案及具体的设计方法,采用嵌入式逻辑分析仪分析了正弦波、方波、锯齿波和三角波等波形,并给出了计算机仿真和实验结果,最后总结了该系统的特点。1基本原理如图1所示,基本的结构一般由4个模块构成,分别是、波形存储器ROM,D/A转换器和低通滤波器LPF。系统时钟fc为基准频率源,K为频率控制字,通过改变K的数值可以改变的输出频率,在fc上升沿到来时,会对频率控制字K进行相位累加,累加结果即是波形存储器ROM中幅值的地址数据,经过寻址,幅值由ROM输出到D/A转换器中,D/A转换器将由二进制编码的数字信号转换为模拟信号输出,该模拟信号再经过低通滤波器的滤波就可以得到平滑的波形曲线。
的输出频率为:fo=(K/2N)fc(1)式中:N为的位数;fc作为基准频率源一般是给定的数值,因此,决定输出频率的因素分别是频率控制字K和的位数N。当K取最小值1时,的输出频率即是它的最小分辨率:fo=fc/2N(2)2的设计是的重要组成部分,在基准时钟控制下,它用来实现线性数字信号的逐级累加,信号范围从0加到累加器的满偏值,由此得到相应的相位数据,而的频率就是输出信号的频率。在通常的电路优化设计中,累加器模块采用超前进位加法器,这种结构克服了串行进位引起的时间滞后,很大程度上提高了加法器的运算速度,但仍有不足。为了提高频率转换速度和实时性,本文提出了一种结构来优化的。的结构特点很适合采用设计,以Altera低成本系列CycloneⅡ为例,不仅有最多达68416个逻辑单元(LE),每个LE均含有1个四输入查找表LUT、1个可编程触发器等。设计中可将1个算术操作分解成一些小规模的基本操作配置到LUT中,将进位和中间值存储在寄存器中,在下一个时钟内继续运算,整个系统只需要极少或不需要额外的资源成本。结构的基本原理是将整个电路划分为若干个级,每级之间设置寄存器锁存上一级输出的数据;每一级只完成数据处理的一部分,一个时钟周期完成一级数据处理,然后在下一个时钟到来时将处理后的数据传递给下一级。第一组数据进入后,经过1个时钟周期传到第二级,同时第二组数据进入第一级,数据队列依次前进。每组数据都要经过所有的级后才能得到最后的计算结果,但对整个而言,每个时钟都能计算出一组结果,所以平均计算一组数据只需要一个时钟周期的时间,这样就大大提高了数据处理速度。图2为在QuartusⅡ开发环境下用原理图输入法搭建的结构图。该结构由四级构成,每一级的输入字节为8位,分别由8位数据锁存器,8位数据全加器,1位数据锁存器构成,整个系统可实现32位输入控制字的相位累加功能。根据的工作原理,首先将32位输入控制字a由低位到高位平均分为4段,每一段为8位,分别以a[0..7]到a[24..32]来命名。将a[0..7]作为第一级的输入控制字输入到8位数据锁存器reg8中,在时钟信号clk上升沿到来之时,reg8暂存的控制字会送入到8位数据全加器adder8中与另一个加法数和进位信号进行全加运算。另一个加法数是来自本级运算结果的反馈,为保持时钟节拍的一致性,该反馈先输入到另一个reg8中暂存,然后在时钟上升沿到来之时输入到全加器进行运算;进位信号来自第四级,该信号也是先暂存在一个1位数据锁存器reg1中再输入到全加器中进行运算。第一级经过全加器之后得出运算结果sum[0..7]和进位信号,sum[0..7]作为本级的输出,它是整个累加结果的最低8位,而进位信号经过一个reg1之后作为下一级全加器的输入。第二级的输入信号是a[8..15],因为上一级共由两级数据锁存器构成,所以在做全加运算之前先分别将输入信号和本级的反馈信号经过2次数据锁存,然后再与来自上一级的进位信号进行全加运算,运算之后的结果作为本级的输出sum[8..15],同时产生进位信号参与下一级的运算。第三级与第四级的工作原理同上,系统每增加一级结构,锁存器也会随之增加一级。图3为在QuartusⅡ环境下得到的四级仿真波形,时钟信号的频率是200MHz,占空比为50%,偏移量为0。为观察方便,输入控制字a和累加结果sum均用无符号十进制数来表示,且输入控制字设定为32,由波形图可见,该系统可以实现32位的相位累加。3任意波形发生器的设计及实现可以根据ROM中存储数据的不同产生多种波形。在QuartusⅡ开发环境下搭建系统模型需要订制波形存储器ROM,根据所需精度的不同,ROM中存储的采样点数也不同。当所需波形数据非常简单时,可以在QuartusⅡ中定制ROM时直接将数据写入新建的mif文件,然后保存即可,当所需波形数据较为复杂时,可以通过Matlab来自动生成所需波形的幅度数据,然后再通过调用mif文件来达到预期目标。以256个点的正弦波为例加以分析说明。产生正弦波的Matlab程序如下:width定义的是位宽,depth是深度,也就是将来生成的mif文件含有多少个存储单元,在此处,设定了数据宽度为8位,存储单元数为256,将来在QuartusⅡ中定制ROM时也要相应地将存储单元数设定为256,根据的基本原理,随着设计点数的增加,所得的波形数据会更加准确,通过D/A转化后在示波器上观测的波形也越精准,但所需ROM的存储空间将会呈指数增长,所以要根据实际的需要来综合考虑存储单元的个数。将上述指令在Matlab环境中运行之后就能够得到所需mif文件。在mif文件生成之后需要将此文件添加进入系统的ROM中,然后进行全局的编译,编译通过后就可以进行工程的下载。具体的波形可以通过示波器来分析,或者使用QuartusⅡ自带的嵌入式逻辑分析仪来分析。在使用嵌入式逻辑分析仪分析和观察时,采样信号要根据的时钟信号来确定,待测信号设定为的输出信号,当工程下载到芯片后,待测信号通过USB-BLASTER反馈至嵌入式逻辑分析仪中,选择不同的数据类型,可以观察到以十进制数据表示的数字信号或者以实际波形表示的模拟信号。图4为在在嵌入式逻辑分析仪中观察到的正弦曲线。由波形图可以看出,该设计方案可以实现正弦信号发生器的功能。按照相同的方法,修改产生mif文件的Matlb运算指令可以获得方波,锯齿波,三角波等波形。图5~图7为能产生方波,锯齿波和三角波波形的工程文件下载到芯片后通过嵌入式逻辑分析仪得到的波形图。由波形图可以看出,该系统能够实现任意波形发生器的功能。4结语本文将引进到的设计中,利用电子设计自动化技术进行系统设计,并从嵌入式逻辑分析仪分析和观察了相应的波形,仿真和硬件实验验证了设计方案的正确性。该系统具有结构简单、运行速度快和占用芯片资源少等特点。随着ROM查找表的扩大以及越来越多的直接计算波形数据的方法不断被提出,对整体实时性的要求也越来越高,尤其像目前较为流行的cordic算法及改进的其它插值算法,由于算法本身随着级数的增多,整个系统的频率转换效率就会降低,而结构可以很好地解决这一问题。
富士通FRAM最佳数据存储解决方案
LPC18Sxx 和 LPC43Sxx是由恩智浦半导体公司新推出的用于加强安全连接的微控制器系列。在原本支持高速连接的高性能的LPC18xx/43xx系列基础上,它集成了硬件加密和支持扩展安全模块单元..... 恩智浦&&&&日&&&&
飞思卡尔最新推出的i.MX 6SoloX将应用处理器的安全性提升到全新水平。这款面向车载娱乐信息的处理器,彰显飞思卡尔半导体在集成多媒体产品的最新成就..... 飞思卡尔&&&&日&&&&
本次网络座谈会上,我们将和听众朋友们一起探讨当今可穿戴产品的技术需求、产品设计难点,同时将介绍Maxim公司利用最新技术开发的特别适合..... 美信&&&&日&&&&
新唐科技深耕单片机市场多年,拥有丰富的电机控制专业实战经验。2015年最新力推极具市场竞争力的单片机新产品- Cortex&-M0 NM1200系列,支持永磁无刷电机控制设计应用..... 新唐&&&&日&&&&DDS 本程序利用FPGA实现了DDS的功能,结合高速DA转换器DAC902可以用作波形发生器 VHDL- -Verilog 222万源代码下载-
&文件名称: DDS& & [
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 3468 KB
&&上传时间:
&&下载次数: 7
&&提 供 者:
&详细说明:本程序利用FPGA实现了DDS的功能,结合高速DA转换器DAC902可以用作波形发生器-This procedure using FPGA implementation of the DDS functions, combined with high-speed DA converter can be used as waveform generator DAC902
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&DDS&&...\dac.bsf&&...\dac.v&&...\db&&...\..\altsyncram_1ss3.tdf&&...\..\altsyncram_2i71.tdf&&...\..\altsyncram_be71.tdf&&...\..\altsyncram_ggq1.tdf&&...\..\altsyncram_j281.tdf&&...\..\altsyncram_v581.tdf&&...\..\cmpr_5cc.tdf&&...\..\cmpr_8cc.tdf&&...\..\cmpr_9cc.tdf&&...\..\cntr_gui.tdf&&...\..\cntr_m4j.tdf&&...\..\cntr_pbi.tdf&&...\..\cntr_qbi.tdf&&...\..\DDS.1.cmp.rdb&&...\..\DDS.2.cmp.rdb&&...\..\DDS.asm.qmsg&&...\..\DDS.asm.rdb&&...\..\DDS.asm_labs.ddb&&...\..\DDS.autoh_e4eb8c52b26e0df67201.hlp.cdb&&...\..\DDS.autoh_e4eb8c52b26e0df67201.hlp.kpt&&...\..\DDS.autoh_e4eb8c52b26e0df67201.hlp.reg_db.cdb&&...\..\DDS.autoh_e4eb8c52b26e0df67201.qmsg&&...\..\DDS.autos_3e92b2e5d298f5cf757a393f7655ccd81.hlp.cdb&&...\..\DDS.autos_3e92b2e5d298f5cf757a393f7655ccd81.hlp.kpt&&...\..\DDS.autos_3e92b2e5d298f5cf757a393f7655ccd81.hlp.reg_db.cdb&&...\..\DDS.autos_3e92b2e5d298f5cf757a393f7655ccd81.qmsg&&...\..\DDS.cbx.xml&&...\..\DDS.cmp.bpm&&...\..\DDS.cmp.cbp&&...\..\DDS.cmp.cdb&&...\..\DDS.cmp.ecobp&&...\..\DDS.cmp.hdb&&...\..\DDS.cmp.kpt&&...\..\DDS.cmp.logdb&&...\..\DDS.cmp.rdb&&...\..\DDS.cmp.tdb&&...\..\DDS.cmp0.ddb&&...\..\DDS.cmp2.ddb&&...\..\DDS.cmp_merge.kpt&&...\..\DDS.db_info&&...\..\DDS.eco.cdb&&...\..\DDS.eds_overflow&&...\..\DDS.fit.qmsg&&...\..\DDS.hier_info&&...\..\DDS.hif&&...\..\DDS.hlp.hdb&&...\..\DDS.lpc.html&&...\..\DDS.lpc.rdb&&...\..\DDS.lpc.txt&&...\..\DDS.main.hdb&&...\..\DDS.map.bpm&&...\..\DDS.map.cbp&&...\..\DDS.map.cdb&&...\..\DDS.map.ecobp&&...\..\DDS.map.hdb&&...\..\DDS.map.kpt&&...\..\DDS.map.logdb&&...\..\DDS.map.qmsg&&...\..\DDS.map.rcfdb&&...\..\DDS.map.re.rcfdb&&...\..\DDS.map_bb.cdb&&...\..\DDS.map_bb.hdb&&...\..\DDS.map_bb.logdb&&...\..\DDS.merge.qmsg&&...\..\DDS.pre_map.cdb&&...\..\DDS.pre_map.hdb&&...\..\DDS.rtlv.hdb&&...\..\DDS.rtlv_sg.cdb&&...\..\DDS.rtlv_sg_swap.cdb&&...\..\DDS.sgdiff.cdb&&...\..\DDS.sgdiff.hdb&&...\..\DDS.sim.hdb&&...\..\DDS.sim.qmsg&&...\..\DDS.sim_ori.vwf&&...\..\DDS.sld_design_entry.sci&&...\..\DDS.sld_design_entry_dsc.sci&&...\..\DDS.smart_action.txt&&...\..\DDS.syn_hier_info&&...\..\DDS.tan.qmsg&&...\..\DDS.tis_db_list.ddb&&...\..\DDS.xref.hlp.hdb&&...\..\decode_rqf.tdf&&...\..\logic_util_heursitic.dat&&...\..\mux_7oc.tdf&&...\..\prev_cmp_DDS.asm.qmsg&&...\..\prev_cmp_DDS.fit.qmsg&&...\..\prev_cmp_DDS.map.qmsg&&...\..\prev_cmp_DDS.merge.qmsg&&...\..\prev_cmp_DDS.qmsg&&...\..\prev_cmp_DDS.sim.qmsg&&...\..\prev_cmp_DDS.tan.qmsg&&...\..\wed.wsf&&...\DDS.asm.rpt&&...\DDS.bdf&&...\DDS.bsf&&...\DDS.cdf
&[]:一般,勉强可用
&近期下载过的用户:
&相关搜索:
&输入关键字,在本站222万海量源码库中尽情搜索:
&[] - 本文以自己的学习电设经验,详细讲解了DAC0832基于msp430单片机的使用方法,其中程序经过本人亲自调试,可以在msp430上运行
&[] - DA转换器AD5322的程序源码,fpga程序,可以直接应用
&[] - 基于fpga和传统示波器工作方式的vhdl程序,通过ad0809采样信号(可兼容tlc5510)再经由8位da转换输出,同时输出外触发锯齿波,建议使用感性小的示波器探头,否则锯齿波低频时会出现失真
&[] - fpga控制DA9708 输出4种常见波形_调频和调幅
&[] - 十位DA输出的dds,用VHDL实现,环境:ISE 8.1,仿真软件:ModelSim_SE_6.1b
&[] - 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。DDS DDS中如何实现占空比可调的方波
-电子产品世界论坛
DDS DDS中如何实现占空比可调的方波
DDS DDS中如何实现占空比可调的方波
关键词:&&&&&&&&&&&&&&&&&&&&
芯片资料上没有关于如何产生占空比可调方波的内容,高手请指定一二
dds一般都是输出正弦波的,正弦波没有占空比可言^_^如果是输出固定频率,你可以考虑加个单稳电路什么的,改变一下占空比^_^
DDS也可以输出方波AD9834等也可以做为时钟发生器,能输出方波,但不知道是否占空比可调
没用过,但以前做信号发生器时查找过一下,记得DDS是先输出正弦波的,而有一些芯片自带一个独立的比较器,再将正弦波整形为方波。
理论上正弦波都能产生了,方波,包括占空比可调的方波肯定不成问题。仔细找找,应该有的。
多谢指点,我继续努力!占空比是否可测呢?就是我怎么知道我产生的占空比的精度能否达到要求呢?
用比较阈值控制占空比但正弦波出来的占空比和阈值不是线性关系,不方便
而且DDS输出信号的幅度精度并不是很高,占空比精度也会受影响
可以考虑闭环方案,用个电路测占空比再调整
要测占空比必须要有高速的CPU吗?是否可用硬件实现?占空比的测量是否要用到或等高速处理器才能实现呢?用8051能实现吗?AD9834可以产生三角波,用三角波出来的占空比和阈值是否为线性?多谢指点!收获很大。
不知道你的精度要求如何,为啥偏要用DDS呢?现在带PWM的MCU很多啊,例如的就很好用,DDS可是很贵的。。。。。
除非你的要求是频率可以随意调节…………
圈圈,如果要求频率可以随意调节。。。是不是必须用DDS,不过DDS是什么东西呢?
DDS是直接数字合成的简写理论上来说,DDS可以生成任何形状的波形,但现在很多的DDS专用芯片,只产生正弦波。
如果拿个DDS来产生方波(包括楼上所说的那种PWM波),实在是不太划算。
必须要求输出频率可变的正弦波、三角波和占空比可调的矩形波。是否可以用其它方法?请高手指教!
我觉得还是用DDS好!首先你能保证在低频的情况下他输出的绝对没有干扰!甚至都不要滤波电路!最高达到10M都是好的!这个我已经做到了!当然价格是很贵的了
大概为60多块钱一块!
其它方法当然有否则,没有DDS时,波形如何产生?
至于哪种方法成本低,哪种方法更方便,要看你的具体要求,例如频率范围等等。
原来如此。既然要求频率可调、精度又要比较高、频率范围又宽的话,DDS是最好的选择。
两种方案可以考虑:1.如果你的DDS可以产生三角波的话,就像赤铸所说的那样,用电压比较器,改变比较器的参考电压来实现占空比的调节。
&&&&&&&&&&&&&&&&&&2.可以考虑自己设计一个数字PWM(参考中的PWM模块),然后使用DDS出来的信号,作为时钟源,驱动PWM。这在PWM频率不高时比较适合。
必须要求输出频率可变的正弦波、三角波和占空比可调的矩形波DDS的长处是频率精度较高,频率稳定性高,但输出三角波或占空比可调的方波并非其所长。
用函数发生器方法,输出三角波、锯齿波、占空比可调方波比较方便,但频率精度不高,稳定性不好。
各有所长,各有所短,如何取舍,当然就要看你的要求了。
匿名不能发帖!请先 [
Copyright (C) 《电子产品世界》杂志社 版权所有

我要回帖

更多关于 什么是占空比 的文章

 

随机推荐