你好,我想问下你的问题解决了吗?就是关于quartus11.0cad安装后打不开编译出现错误的问题

查看: 26345|回复: 151
Quartus11.0 下载,安装,破_解 介绍说明。。 好用,支持中文注释
Quartus II 11.0 挺好的,支持中文注释了,比较方便。
下载Quartus II 11.0 正式版
/outgoing/release/11.0_quartus_windows.exe& && &1.01GB
/outgoing/release/11.0_devices_windows.exe& && &3.92GB
/outgoing/release/11.0_legacy_nios2_windows.exe& &142MB
Quartus 11.0安装方法
首先安装11.0_quartus_windwos.exe,
只是装了软件,还没装FPGA器件文件,器件文件就是支持各种Altera器件的文件包,这个跟11.0前的版本有很大区别:以前的都是默认一起装的,现在灵活了,我们可以选择常用的器件包装,用不到的就不装了。
双击11.0_devices_windows文件,不多说,按提示一步步操作下去。注意:刚才quartus装在哪个目录下,器件包也要装在相同目录下。
装好了,但是想长期使用软件的话,就得破_解。首先打开Quartus_11.0_x86破_解器(内部版)
点击此处下载
(原文件名:Quartus_11.0_x86破_解器(内部版).rar)
#用Quartus_II_11.0_x86破_解器(内部版).exe破_解C:\altera\11.0\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_11.0_x86破_解器(内部版).exe后,直接点击“应用补丁”,如果出现“未找到该文件。搜索该文件吗?”,点击“是”,(如果直接把该破_解器Copy到C:\altera\11.0\quartus\bin下,就不会出现这个对话框,而是直接开始破_解!)然后选中sys_cpt.dll,点击“打开”。安装默认的sys_cpt.dll路径是在C:\altera\11.0\quartus\bin下)。
#把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II 11.0的Tools菜单下选择License Setup,下面就有NIC ID)。
#在Quartus II 11.0的Tools菜单下选择License Setup,然后选择License file,最后点击OK。
#注意:license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。
这个破_解怎么样,貌似之前的破_解,只有nios核能用,其他核都不能用。
回复【3楼】brahen 柏
这个破_解怎么样,貌似之前的破_解,只有nios核能用,其他核都不能用。
-----------------------------------------------------------------------
我在用,使用正常。
我还在用4.2 .....
不知win7 64位下好用吗
这个必须mark
/outgoing/release/11.0_DSP_builder_windows.exe& &&&58MB
需不需要上了sp1的补丁先?
回复【楼主位】weixintec 睿智
-----------------------------------------------------------------------
DSP builder木有授权啊……
可惜我用的还是9.0版本!干净换,省的别别人交流时还版本来回倒腾!
问一下我的笔记本有三个NIC该填哪一个?还是都填?
支持win7不?
Q2 11.0 下载及破_解,MARK!
mark&&CPLD
回复【楼主位】weixintec 睿智
-----------------------------------------------------------------------
回复【25楼】fbihjp&&
问一下我的笔记本有三个nic该填哪一个?还是都填?
-----------------------------------------------------------------------
填其中一个即可
话说有没有x64的破_解?还是说这个一样可以用在64位系统上?
回复【楼主位】weixintec 睿智
-----------------------------------------------------------------------
有11.0的补丁吗,以及sp1的破_解软件
正在下载~~~
刚开始学习先关注一下
什么时候能有64位的破_解啊??期待
11.0_legacy_nios2_windows.exe
这个是干什么的啊
11.0_quartus_windows.exe& && &装这个后,nios ii也有了哦
还要那个干什么
回复【16楼】rafd
/outgoing/release/11.0_dsp_builder_windows.exe& &&&58mb&&
-----------------------------------------------------------------------
/outgoing/release/11.0_dsp_builder_windows.exe
好东西啊!
我想请教一下,在下面一段话之前的操作我都做了,
#把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II 11.0的Tools菜单下选择License Setup,下面就有NIC ID)。
这一步,NIC ID共有三段数字,用逗号隔开的,是选择其中一个还是全部复制啊?我全部复制替换了,怎么没破_解啊?重新打开软件还是出现提示要license文件,请求帮助!
#在Quartus II 11.0的Tools菜单下选择License Setup,然后选择License file,最后点击OK。
回复【53楼】ylj1005
我想请教一下,在下面一段话之前的操作我都做了,
#把license.dat里的xxxxxxxxxxxx 用您老的网卡号替换(在quartus ii 11.0的tools菜单下选择license setup,下面就有nic id)。&&
这一步,nic id共有三段数字,用逗号隔开的,是选择其中一个还是全部复制啊?我全部复制替换了,怎么没破_解啊?重新打开软件还是出现提示要license文件,请求帮助!
#在quartus ii 11.0的tools菜单下选择license setup,然后选择license file,最后点击ok。
-----------------------------------------------------------------------
nic id共有三段数字? 我不清楚你的是什么情况,我这边就是一串数 12位。没逗号。
下载了,谢谢了。
升级太快了啊&&等sp1
有没有modelsim6.6的破_解啊??
下载破_解文件时出现以下提示,不知道怎么回事
360提示 (原文件名:未命名.jpg)
神贴,必须MARK
我也mark一下
嗯嗯mark。。。
回复【楼主位】weixintec 睿智
-----------------------------------------------------------------------
怎么这么大啊
要是把11.0_devices_windows.exe 再分开就好了,只用max2的郁闷了。
新版本全部安装的话要好几个G,有选择性的安装吧
Q2 11.0 下载及破_解,MARK!
楼主,你好,我的也是按照你所说的方法进行破_解的,但是在运行破_解器的时候提示说那个“sys_cpt.dll文件正在运行,请关闭”,我不知道这种情况该怎么办,就没有管他,也生成了license.dat文件,我改好之后运行Quartus,确实没有之前那个试用期三十天的对话框了,但是我在编译Verilog程序的时候会出现错误,说是“Invalid license key”,还说是:“The licese key and data for the feature do not match. ”,不管是我选择Device family,还是软件自动匹配Device,都会出现上面的情况, 这种情况你碰到过没??
回复【52楼】chenhuifox
-----------------------------------------------------------------------
你的是笔记本吧,应该是有电脑的地址,还有那个无线网络的,我的之前有两个,我把无线网络禁用后再看NIC里面的ID就只有一个了。你的三个我倒不太清楚了,破_解的时候只需填一个就行了,你也可以这样做,“开始-&运行-&cmd-&ipconfig/all”然后在“本地连接”的下面有Physical Address,就是你要填到license.dat中的IP了,希望可以帮到你!!!!!
mark,据说这里SOPC BUILDER没了,改成了QSYS,不知道有什么不同?
谢谢了,不过下载破_解时要关了360才行!
8.0的飘过,不知道11.0的支持Altera 的University IP不呢?&&请问楼主
很好,楼主辛苦了
留帖表示感谢
楼主辛苦了 !!!!
大家破_解了用起来怎么样啊。
估计有很多BUG吧
回复【楼主位】weixintec&&睿智
-----------------------------------------------------------------------
回复【11楼】90999&&
我还在用4.2 .....
-----------------------------------------------------------------------
可不可以发个链接?我也想要个4.2
阿莫电子论坛, 原"中国电子开发网"11.0提供了新的SOPC builder,这个是以前版本的升级版,老版本的sopc builder也是可以用的。新版本的名字叫Qsys了。在Qsys里面,界面发生了变化,变成了这个熊样:
一切都要自己动手连接了,不会像以前一样加入模块后自动连接好了。看起来便麻烦了,但是好像编译速度有所提升。
这里有几个小问题,加入三态总线后,会报错,无论怎么分配地址都会有错,这个时候要运行一下system下的run sopc builder to Qsys upgrade,OK,问题没了。generate后,回到quartus下,新建原理图,在原理图上双击左键,加入刚才生成的NIOS,编译,出错了,意思是模块没有例化,这个错误以前用SOPC builder时是不会有的。解决办法是将.qip文件加入到工程里,再编译就没事了。.qip文件在工程目录下的一个文件夹里,这个文件夹的名称就是刚才用Qsys建立SOPC时保存命名的文件夹。如下图:
我的SOPC名叫system,所以就在system文件夹里找这个system.qip文件,找到这货后,点击add to current project,再编译就行了。
阅读(...) 评论()查看: 1873|回复: 26
求助!!quartus11.0软件的安装
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
&&&&&&&&&&&& 我的笔记本电脑是win7 64位的,安装quartus11.0软件成功,也破解了,但是在编译时总是不成功,出现这个错误 licence files does not support device,这是怎么回事啊?可是我已经破解了啊,显示使用时间到2035年。
少说话,多做事
主题帖子精华
在线时间524 小时
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
看来这里关注FPGA/cpld的比较少
少说话,多做事
主题帖子精华
初级会员, 积分 53, 距离下一级还需 147 积分
在线时间0 小时
我遇到过同样的问题啊,我曾今安装了无数遍以为可以通过我的诚心感动上苍让我安装成功,但还是失败了,后来我用了quartus9.0的,现在才开始学呢
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【4楼】sinmcu:
---------------------------------
你的电脑操作系统是win7&64位吗
少说话,多做事
主题帖子精华
初级会员, 积分 53, 距离下一级还需 147 积分
在线时间0 小时
回复【5楼】SDU:
---------------------------------
是的呀,
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【6楼】sinmcu:
----------------------------我的也是64位的,弄了好多天都没弄好
少说话,多做事
主题帖子精华
初级会员, 积分 53, 距离下一级还需 147 积分
在线时间0 小时
回复【7楼】SDU:
那你现在用的什么,我安装的9.0版本的,这个就只需要安装quratus软件就可以了,它里面有仿真,就不用安装仿真软件了,其实xilinx的ise很好装,我就装了一个,但网上ise的教程太少,,,
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【8楼】sinmcu:
---------------------------------是装的quartus&ii9.0吗?我的电脑装过,没有装上
少说话,多做事
主题帖子精华
初级会员, 积分 53, 距离下一级还需 147 积分
在线时间0 小时
回复【9楼】SDU:
---------------------------------
哦,9.1
感觉还好用
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【10楼】sinmcu:
---------------------------------
从哪个网站上下载的9.1版本,能给个链接地址吗?我也想安装试一下
少说话,多做事
主题帖子精华
初级会员, 积分 53, 距离下一级还需 147 积分
在线时间0 小时
回复【11楼】SDU:
---------------------------------
/imapla/archive//2678814.html
这是网址,9.1版本的下面评论那里,也是楼主给出来的,你去看看吧
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【12楼】sinmcu:
---------------------------------
非常感谢
少说话,多做事
主题帖子精华
新手上路, 积分 32, 距离下一级还需 18 积分
在线时间0 小时
其实呢,win7&64位安装这个软件需要破解的是Quartussii的32位,目前网上流传的破解文件也是32位的,我的就是win7&+&quartusii&11.0,很好很完美
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【14楼】Frde:
---------------------------------
你的电脑是win7&64位的吗?我的电脑是64位的,没有安装成功
少说话,多做事
主题帖子精华
新手上路, 积分 32, 距离下一级还需 18 积分
在线时间0 小时
是的,64位,一切OK
主题帖子精华
新手上路, 积分 32, 距离下一级还需 18 积分
在线时间0 小时
这个是我实验用的,
d1eb20a8f7_637.bmp (0 Bytes, 下载次数: 0)
22:54 上传
16dc24aea50_52.bmp (0 Bytes, 下载次数: 0)
22:54 上传
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【17楼】Frde:
---------------------------------
能不能给个这个软件的链接地址,我也想下载下来
少说话,多做事
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【17楼】Frde:
---------------------------------跪求下载地址
少说话,多做事
主题帖子精华
新手上路, 积分 32, 距离下一级还需 18 积分
在线时间0 小时
/s/15R6oc,这个是我刚刚上传的,
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【20楼】Frde:
---------------------------------
好的,非常感谢,有不懂的再问你哟
少说话,多做事
主题帖子精华
新手上路, 积分 25, 距离下一级还需 25 积分
在线时间0 小时
多点关注FPGA的坛友。
有容乃大,能予方强~
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【22楼】A-Dream:
---------------------------------
这个论坛大部分是stm32的,关于cpld的太少了
少说话,多做事
主题帖子精华
初级会员, 积分 150, 距离下一级还需 50 积分
在线时间0 小时
回复【23楼】SDU:
---------------------------------
楼主,机器人大赛怎么样啊
主题帖子精华
中级会员, 积分 339, 距离下一级还需 161 积分
在线时间0 小时
回复【24楼】lilovehu521:
---------------------------------
学校回复【24楼】lilovehu521:
---------------------------------
由于电机出毛病,学校初赛未通过,感觉很郁闷,忙了两个月,就这样over了
少说话,多做事
主题帖子精华
新手上路, 积分 25, 距离下一级还需 25 积分
在线时间0 小时
回复【23楼】SDU:
---------------------------------
确实,CPLD其实也挺不错。。
有容乃大,能予方强~
主题帖子精华
中级会员, 积分 232, 距离下一级还需 268 积分
在线时间1 小时
回复【楼主位】SDU:
&&&&&&&&&&&&&我的笔记本电脑是win7&64位的,安装quartus11.0软件成功,也破解了,但是在编译时总是不成功,出现这个错误&licence&files&does&not&support&device,这是怎么回事啊?可是我已经破解了啊,显示使用时间到2035年。
---------------------------------
出现这个错误并不代表你的破解没有成功,而是你破解所用的许可文件不支持所用的器件,IP核等,quartus分NIOS许可和单个IP核的许可.一般我们容易成功破解的基本都是NIOS中所用的器件许可.
个人小店,弄了些大人玩具,希望各位老小玩童们喜欢。
Powered bywin7 x64 安装quartusII 11.0后无法找到usb blaster的解决方法_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
win7 x64 安装quartusII 11.0后无法找到usb blaster的解决方法
上传于||文档简介
&&quartusII 11.0
阅读已结束,如果下载本文需要使用0下载券
想免费下载更多文档?
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 cad安装后打不开 的文章

 

随机推荐