师兄我用的ep1c3t14400C6来做等精度频率计。求解这芯片的详细资料。急求……万分感谢。QQ402986090

您的位置:
等精度频率计的实现
字体: 
| 评论()
图2所示的单元完成了等精度测频的核心部分,在实际应用中多数时候需要将测量的结果通过显示设备进行显示。从图2可以看出本设计由于设计了锁存单元,将计数结果和一些控制信号进行了锁存处理,便于与单片机或者其他的单片机(MCU)相连,因此在该FPGA实现的核心单元基础上连接MCU,容易实现计数值到实际频率值以及相应的周期值之间的转换,并通过MCU控制显示设备将最终需要显示的结果信息进行显示。FPGA器件与单片机硬件接口电路框图如图3所示。图3中的等精度频率测量模块和锁存模块都由Altera公司的FPGA器件EP1C3T100C6实现,等精度计数模块的输出结果为2个32 bit的数据,为了方便与单片机连接,该2个32 bit数据由在FPGA器件内部的锁存器分8次锁存输出,单片机每次读取8 bit,连续读取8次即可,读取的Nx和N0的计数值经过单片机按照等精度频率计算公式换算成实际频率值,最后通过DM12864进行显示。
3 测量结果的误差分析
采用高精度信号源输出不同频率的正弦波信号,经过信号调理电路,整形得到的方波信号提供给FPGA进行计数测量,将测量结果与高精度信号源输出的频率相比较,计算其误差,如表1所示。
表l给出了各种频率的测量结果和误差。结果显示,本设计在1Hz~20MHz全范围内的测量误差小于2&10-6。从图4可以看出测量结果的误差分布在同一个数量级附近,达到了等精度测量的目的。在实际测试中发现,如果提高系统晶振的频率或者提高晶振的精度级别,频率测量的误差还会进一步降低。
详细介绍了等精度测量的原理,并给出等精度测量的思想在FPGA上实现的方法。测试结果表明该等精度测量方案误差非常小,在测量范围内误差恒定。该设计方案对测量频率实现设计具有一定的借鉴。
& &来源:维库开发网
  最新招聘信息等精度频率计的实现_文档下载_文档资料共享网
文档资料共享网 文档搜索专家
当前位置: >>
>> 等精度频率计的实现
等精度频率计的实现
第 18 卷第6期电子设计工程Vol.18No.6Electronic Design Engineering2010 年 6 月 June. 2010等精度频率计的实现夏振华(长江大学 电信学院,湖北 荆州 434023 ) 摘要: 为了克服传统频率测量法不能满足等精 度要 求 的 缺点 ,提 出 一种 基 于 FPGA 的高 速 等 精度 频 率 测量 系 统 的设 计方案。 系统由等精度频率测量 FPGA 模块和单片机主控电路 2 部分组成,利用 FPGA 实现等精度计数和锁存,单片 机完成 测量 结 果 的计 算 和 显示 。 测 试 结果 表 明 :该系 统 可 以实 现 1 Hz~20 MHz 频 率范 围 内 的频 率 测 量 ,测 量 误 差小 于 2×10-6,并且在整个频率范围内测量精度一致,达到等精度测量要求。 关键词: 等精度; 频率测量; FPGA ; 误差小 中图分类号:TP277 ; TP368 文献标识码:A 文章编号: (2010 )06-0177-02Realization of equal precision frequency meterXIA Zhen-hua(School of Electronics & Information ,Yangtze University , Jingzhou 434023 , China )Abstract: In order to overcome the shortcomings of the traditional methods ,which can not meet the requirement of equal precision measurement, the design of equal precision frequency measurement system based on FPGA is proposed. The system is composed of two major function modules of equal precision frequency measurement based on FPGA and a single micro -computer, the equal precision counting and data locking are realized in FPGA, the calculating and display are processed by single-chip. The test results demonstrate that the system can measure the frequency of 1Hz to 20MHz signal, the measurement error is less than 2 ×10 -6, and the precision in the whole range is equal, it achieves the requirement of equal precision. Key words: equal precision ; frequency measurement ; FPGA ; small measurement error频率 测 量 在电 子 设 计和 测 量 领域 中 经 常用 到 ,因 此 对 频 率测量方法的研究在实际工程应用中具有重要意义。 常用的 频率 测 量 方法 有 两 种:频 率 测 量法 和 周 期测 量 法 。 频 率 测 量 法是 在 时 间 t 内 对 被 测信 号 的 脉冲 数 N 进 行 计 数 ,然 后 求 出 单 位时 间 内 的脉 冲 数 ,即为 被 测 信号 的 频 率。 周 期 测 量 法 是 先 测量出被测信号的周期 T , 然 后 根据 频 率 f=1/T 求出 被 测 信号 的 频 率。 但 是 上 述两 种 方 法都 会 产 生 ±1 个 被 测 脉冲 的 误 差 , 在 实 际 应用 中 有 一定 的 局 限性 。 根 据 测量 原 理 ,很 容 易 发 现 频 率 测 量法 适 合 于高 频 信 号测 量 ,周 期测 量 法 适合 于 低 频 信 号测量,但二者都不能兼顾高低频率同样精度的测量要求 [1]。图1 等精度测量原理从以上叙述的等精度的测量原理可以很容易得出如下 结论:首先,被测信号频率 fx 的相对误差 与 被测 信 号 的频 率 无 关 ;其 次 ,增 大 测 量 时 间 段 “软 件 闸 门 ”或 提 高 “标 频 ”f0, 可 以 减 小 相 对误 差 ,提 高测 量 精 度;最 后 ,由 于一 般 提 供标 准 频 率1等精度测量原理等精度测量的一个最大特点是测量的实际门控时间不是 一 个 固 定 值 ,而 是 一 个 与 被 测 信 号 有 关 的 值 ,刚 好 是 被 测 信号 的 整 数倍 。 在 计 数允 许 时 间内 ,同 时 对标 准 信 号 和 被 测 信号 进 行 计数 , 再 通 过数 学 公 式推 导 得 到被 测 信 号 的 频 率 。 由于 门 控 信号 是 被 测信 号 的 整数 倍 ,就 消除 了 对 被 测 信 号 产 生的 ±1 周期误差,但是会产生对标准信号 ±1 周期的误差 [2]。 等 精度测量原理如图 1 所示。 收稿日期: 稿件编号:f0的 石 英 晶振 稳 定 性很 高 ,所 以标 准 信 号的 相 对 误差 很 小 ,可忽略。 假设标准信号的频率为 100 MHz ,只要实际闸门时间大 于或等于 1 s, 就可使测量的最大相对误差小于或等于 10-8,即 精度达到 1/100 MHz 。2等精度测频的实现等精度测量的核心思想在于如何保证在实际测量门闸作者简介:夏振华(1978 ―),男,湖北罗田人,硕士,讲师。 研究方向:自动化装置。-177- 《电子设计工程》2010 年第 6 期内被 测 信 号为 整 数 个周 期 ,这 就需 要 在 设计 中 让 实 际 测 量 门 闸信号与被测信号建立一定的关系 [4]。 基于这种思想,设计中 以被 测 信 号的 上 升 沿作 为 开 启门 闸 和 关闭 门 闸 的驱 动 信 号 , 只 有 在 被测 信 号 的上 升 沿 才将 图 1 中 预置 的 “软 件闸 门 ”的 状 态 锁 存 ,因 此 在 “实 际 闸 门 ”Tx内 被 测 信 号 的 个 数 就 能 保 证 整 数 个 周 期 , 这 样 就 避 免 普 通 测 量 方 法 中 被 测 信 号 的 ±1 的 误 差 ,但 会 产 生 高 频 的 标 准 频 率 信 号 的 ±1 周 期 误 差 ,由 于 标 准 频率 f0的频 率 远 高于 被 测 信号 , 因 此 它产 生 的 ±1 周期 误 差 对 测 量 精 度 的 影 响 十 分 有 限 ,特 别 是 在 中 低 频 测 量 的 时 候 ,相 较于传统的频率测量和周期测量方法, 可以大大提高测量 精 度 [5]。 等精度测频的原理图如图 2 所示。 图中 , 预置软件闸门信 号 GATE 是 由 FPGA 的 定 时 模 块 产 生 , GATE 的 时 间 宽 度 对 测 频精度的影 响 较 少 , 故 可 以 在较 大 的 范围 内 选 择 。 这 里 选 择 预置闸门信号的长度为 1 s[3]。 图中的 CNT1 和 CNT2 是 2 个可控 的 32 位 高 速 计 数 器 , CNT1_ENA 和 CNT2_ENA 分 别 是 其 计 数 使 能 端 , 基 准 频 率 信 号 f0 从 CNT1_CLK 输 入 , 待 测 信 号 fx 从1.2 12.567 8 1 546.948 98 542.37 987 561.2 1 235 610 9 874 123 13 654 123 20 000 000图3 硬件接口框图3测量结果的误差分析采用 高 精度 信 号 源输 出 不 同频 率 的 正弦 波 信 号 ,经 过 信号调 理 电 路, 整 形 得 到 的 方 波 信 号 提 供 给 FPGA 进 行 计 数 测 量 ,将 测 量 结 果 与 高 精 度 信 号 源 输 出 的 频 率 相 比 较 ,计 算 其 误差,如表 1 所示。表1 信号源输入频率 /Hz 频率和周期测试数据 测量值 /Hz 相对误差1.199 998 12.567 78 1 546.946 98 542.26 987 560.1 1 235 608 9 874 106 13 654 107 19 999 9791.67×10-6 1.59×10-6 1.29×10-6 1.12×10-6 1.11×10-6 1.62×10-6 1.72×10-6 1.17×10-6 1.05×10-6CNT2 的时钟输入端 CONT2_CLK 输入 , 并 将 fx接 到 D 触 发器 的 clk 端。 测量时,由 FPGA 的定时模块产生预置的 GATE 信号,在 GATE 为 高 电 平 ,并 且 fx 的 上 升 沿 时 ,启 动 2 个 计 数 器 ,分 别 对被测 信 号 和基 准 信 号计 数 , 关 闭计 数 闸 门必 须 满 足 ,GATE 为 低电平,且在 fx的上升沿。 若在一次实际闸门时间 Tx中 , 计数 器 对被测信号的计 数值 为 Nx, 对 标准 信 号 的计 数 值 为 N0, 而 标 准 信号的频率为 f0, 则 被 测信 号 的 频率 为 fx,则 fx= (N0/Ns)f0[6]。 图 2 中的所有功能都在 FPGA 端实现。表 1 给出了各种频率的测量结果和误差。 结果显示,本设 计在 1 Hz~20 MHz 全范围 内 的 测量 误 差 小于 2×10-6。 从 图 4 可 以 看 出 测量 结 果 的误 差 分 布在 同 一 个数 量 级 附近 ,达 到 了 等 精 度 测量 的 目 的。 在 实 际 测试 中 发 现,如 果 提 高系 统 晶 振 的 频 率 或者 提 高 晶振 的 精 度级 别 ,频 率测 量 的 误差 还 会 进 一 步图2FPGA 实现的功能的原理图降低。图 2 所 示 的 单元 完 成 了等 精 度 测频 的 核 心 部 分 , 在 实 际 应 用 中多 数 时 候需 要 将 测量 的 结 果通 过 显 示设 备 进 行 显 示 。 从 图 2 可 以 看 出本 设 计 由于 设 计 了锁 存 单 元 , 将 计 数 结 果 和 一 些控 制 信 号进 行 了 锁存 处 理 ,便于 与 单 片机 或 者 其 他 的 单 片 机 (MCU )相 连 ,因 此 在 该 FPGA 实 现 的 核 心 单 元 基 础 上 连 接 MCU ,容易 实 现 计数 值 到 实际 频 率 值以 及 相 应的 周 期 值 之 间 的 转换 ,并 通 过 MCU 控 制 显 示设 备 将 最终 需 要 显示 的 结 果 信息进行显示。 FPGA 器件与单片机硬件接口电路框图如图 3 所示。 图 3 中的等精度频率测量模块和锁存模块都由 Altera 公 司的 FPGA 器件 EP1C3T100C6 实现,等精度计数模 块的 输 出 结 果为 2 个 32 bit 的数据,为了方便与单片 机 连 接,该 2 个 32 bit 数 据由 在 FPGA 器 件内 部 的 锁 存 器 分 8 次 锁 存 输 出 ,单 片 机 每 次 读 取 8 bit ,连 续 读 取 8 次 即 可 ,读 取 的 Nx 和 N0 的 计 数 值 经 过 单 片 机 按照 等 精 度频 率 计 算公 式 换 算成 实 际 频率 值 ,最 后 通 过图4 各个频率点的测量误差分布图4结束语详细介绍了等精度测量的原理,并给出等精度测量的思想在 FPGA 上 实 现的 方 法 。 测 试 结 果 表 明 该 等 精 度 测 量 方 案 误 差 非常 小 ,在 测量 范 围 内误 差 恒 定 。 该 设 计 方案 对 测 量 频 率 实现设计具有一定的借鉴。 (下转第 182 页)DM12864 进行显示。-178- 《电子设计工程》2010 年第 6 期际间 距 离 的测 控 响 应与 在 本 市区 地 域 的测 控 响 应基 本 相 同 , 通 过 对 PLC I/O 的 读 写 、模 拟 量 数 据 的 读 写 、单 字 节 、双 字 节 及 实 数 的 读 写 ,均 未 出 现 数 据 差 错 现 象 ,远 程 的 响 应 速 度 基 本 上 是 对上 位 的 指令 立 即 响应 ,操 作 人基 本 感 觉不 出 时 间 的 延时。 在 PLC 中,由于本远程测控程序字节量较少,完全可以 嵌入在 PLC 的过程控制程序中 运 行, 由 于 在 自由 口 通 讯中 , 对上位 PC 读写的响应 采 用 的是 中 断 方式 快 速 响应 , 所 以 对[3] Siemens. TC35i AT command set [EB/OL]. ( ) []. http:///sell/pdf.asp?keyword= TC35I&type=pdf. [4] Siemens. TC35i hardware interface description [EB/OL](
) [].http : ///do-wnloads115/doc/comm/I.rar. [5] 陈 坚 ,孙 志 月 . MODENM 通 讯 编 程 技 术 [M]. 西 安 : 西 安 电子科技大学出版社, 1999.PLC 的过程控制程序的实时性基本无影响。参考文献:[6] 范 逸 之 , 陈 立 元 . Visual Basic 与 RS-232 串 行 通 讯 控 制 [M]. 北京:清华大学出版社,2006. [7] Siemens. SIMATIC S7-200 可 编 程 序 控 制 器 系 统 手 册 [EB/ OL] (2008-08) [].http://www2..cn/ download/Upload/AS/manual/].pdf.[1] 李斯春,李杨,唐国侠 . 基于 GPRS 的自动抄表系统设计 [J].电子设计应用,2007 (10 ):97-100.[2] 安军 强 . 基 于 PLC 数 传 电 台 和 工 控 机 的 无 线 监 控 系 统 [J].自动化博览,2006 (6 ):76-77.!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!(上接第 178 页) 参考文献:[4] 陈 明 杰 . 利 用 CPLD 设 计 高 速 等 精 度 频 率 测 量 仪 [J]. 重 庆 工商大学学报,2004 ,21 (4 ):32-34.[1] 莫 琳 . 基 于 FPGA 的 等 精 度 频 率 计 的 设 计 与 实 现 [J]. 现 代 电子技术,2004 ,10 (10 ):81-82.[5] 李 丽 娟 ,张 寿 明 . 基 于 CPLD/FPGA 的 等 精 度 频 率 计 设 计 [J].工业控制计算机,2008 ,21 (8 ):73-74.[2] 李 红 刚 . 基 于 FPGA 的 高 速 等 精 度 频 率 测 量 系 统 设 计 [J]. 微计算机信息,2008 ,24 (11 ):218-220.[6] 杨 潇 ,翟 玉 文 ,艾 学 忠 . 基 于 CPLD 和 VHDL 的 等 精 度 频 率装置 [J]. 吉林化工学院学报,2004 ,21 (3 ):49-51.[3] 毛智德 . 基于 FPGA 的等精度频 率 计 设计 [J]. 电 子 测量 技 术 , 2007 ,29 (4 ):85-86.&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&符合全球汽车制造商严格要求的独立式 LIN2.1/SAE J2602 收发器Microchip Technology Inc. (美国 微 芯科 技 公 司) 推 出 MCP2003 及 MCP2004 (MCP2003/4 ) 独立 式 LIN 收 发器 。 这 些 通 过 AEC-Q100 认证的器件得到了第三方 LIN/J2602 认证,能满足全球汽车制造商的 严 格 要求 。 这 些 收发 器 符 合 LIN 总 线 1.X/2.0/ 2.1 和 SAE J2602 标准,并具备在极端恶劣环境下可靠通信的业界领先的 ESD 和 EMC 性能。 新器件在 LIN 收发器市场中额定电流消耗最低,有助于延长电池寿命和实现更有效的非点火开关应用。LIN 在全球各地均持续显示出强劲的增长势头并获得了广泛的认可。 根据研究公司 Strategy Analytics 的数据,在节点数量方面,LIN 在联网汽车应用中成为第二大细分市场。 MCP2003/4 系列代表 Microchip 的第三代 LIN/SAE J2602 收发器,继承了该 公司上一代 MCP2021/2 收发器采用集成稳压器的特点。 MCP2003/4 系列业界领先的 EMC 性能能够实现更稳健的设计,不容易 受系统故障的影响。 该功能还提高了系统效率,减少或完全无需外部元件,降低了成本。 目前,所有这些工具都可以通过 microchipDIRECT (/get/G4UX )订购。 此外,具备防夹功能的车窗升 降器和汽车环境照明模块参考设计(部件编号分别为 APGRD002 和 APGRD004 )也可通过 Microchip 网站获得。MCP2003/4 收发器适合于汽车市场应用,包括雨刷传感器、天窗、车窗升降器和许多 其 他 基于 传 感 器或 执 行 器的 系 统 。 这些器 件 也适 合 许 多非 汽 车 应用 ,包 括 工业 (如 大 型割 草 机 、高尔 夫 球 车及 计 量 和门 锁 等 )、医疗 (如 轮 椅的 电 机 和控 制 面 板及 医 院病床等)及家用电器市场(如洗衣机、炉灶控制面板和传感器等)。MCP2003 和 MCP2004 收发器采用 8 引脚 PDIP 、SOIC 和 4 mm × 4 mm DFN 封装。咨询编号:-182-
关于我们 | 联系我们 | 版权声明 |
| 在线帮助测·控领域专业互动媒体平台
推动测试测量,检测诊断,传感物联,遥测自控智能化发展
当前位置: &
等精度频率计的实现
[导读] 本文详细介绍了等精度测量的原理,并给出等精度测量的思想在FPGA上实现的方法。测试结果表明该等精度测量方案误差非常小,在测量范围内误差恒定。该设计方案对测量频率实现设计具有一定的借鉴。
& 测量在电子设计和测量领域中经常用到,因此对测量方法的研究在实际工程应用中具有重要意义。常用的测量方法有两种:测量法和周期测量法。测量法是在时间t内对被测信号的脉冲数N进行计数,然后求出单位时间内的脉冲数,即为被测信号的。周期测量法是先测量出被测信号的周期T,然后根据f=1/T求出被测信号的。但是上述两种方法都会产生&1个被测脉冲的误差,在实际应用中有一定的局限性。根据测量原理,很容易发现测量法适合于高频信号测量,周期测量法适合于低频信号测量,但二者都不能兼顾高低同样的测量要求。
1 等测量原理
&&& 等测量的一个最大特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,刚好是被测信号的整数倍。在计数允许时间内,同时对标准信号和被测信号进行计数,再通过数学公式推导得到被测信号的。由于门控信号是被测信号的整数倍,就消除了对被测信号产生的&l周期误差,但是会产生对标准信号&1周期的误差。等测量原理如图1所示。
&&& 从以上叙述的等的测量原理可以很容易得出如下结论:首先,被测信号fx的相对误差与被测信号的无关;其次,增大测量时间段&软件闸门&或提高&标频&f0,可以减小相对误差,提高测量;最后,由于一般提供标准f0的石英晶振稳定性很高,所以标准信号的相对误差很小,可忽略。假设标准信号的为100 MHz,只要实际闸门时间大于或等于1s,就可使测量的最大相对误差小于或等于10-8,即达到1/100 MHz。
2 等测频的
&&& 等测量的核心思想在于如何保证在实际测量门闸内被测信号为整数个周期,这就需要在设计中让实际测量门闸信号与被测信号建立一定的关系。基于这种思想,设计中以被测信号的上升沿作为开启门闸和关闭门闸的驱动信号,只有在被测信号的上升沿才将图1中预置的&软件闸门&的状态锁存,因此在&实际闸门&Tx内被测信号的个数就能保证整数个周期,这样就避免普通测量方法中被测信号的&1的误
差,但会产生高频的标准信号的&l周期误差,由于标准f0的远高于被测信号,因此它产生的&1周期误差对测量的影响十分有限,特别是在中低频测量的时候,相较于传统的测量和周期测量方法,可以大大提高测量。
&&& 等测频的原理图如图2所示。图中,预置软件闸门信号GATE是由FPGA的定时模块产生,GATE的时间宽度对测频的影响较少,故可以在较大的范围内选择。这里选择预置闸门信号的长度为1s。图中的CNT1和CNT2是2个可控的32位高速计数器,CNT1_ENA和CNT2_ENA分别是其计数使能端,基准信号f0从CNT1_CLK输入,待测信号fx从CNT2的时钟输入端CONT2_CLK输入,并将fx接到D触发器的clk端。测量时,由FPGA的定时模块产生预置的GATE信号,在GATE为高电平,并且fx的上升沿时,启动2个计数器,分别对被测信号和基准信号计数,关闭计数闸门必须满足,GATE为低电平,且在fx的上升沿。若在一次实际闸门时间Tx中,计数器对被测信号的计数值为Nx,对标准信号的计数值为N0,而标准信号的为f0,则被测信号的为fx,则fx=(N0/Ns)f0。图2中的所有功能都在FPGA端。
&&& 图2所示的单元完成了等测频的核心部分,在实际应用中多数时候需要将测量的结果通过显示设备进行显示。从图2可以看出本设计由于设计了锁存单元,将计数结果和一些控制信号进行了锁存处理,便于与单片机或者其他的单片机(MCU)相连,因此在该FPGA的核心单元基础上连接MCU,容易计数值到实际值以及相应的周期值之间的转换,并通过MCU控制显示设备将最终需要显示的结果信息进行显示。FPGA器件与单片机硬件接口电路框图如图3所示。图3中的等测量模块和锁存模块都由Altera公司的FPGA器件EP1C3T100C6,等计数模块的输出结果为2个32 bit的数据,为了方便与单片机连接,该2个32 bit数据由在FPGA器件内部的锁存器分8次锁存输出,单片机每次读取8 bit,连续读取8次即可,读取的Nx和N0的计数值经过单片机按照等计算公式换算成实际值,最后通过DM12864进行显示。
3 测量结果的误差分析
&&& 采用高信号源输出不同的正弦波信号,经过信号调理电路,整形得到的方波信号提供给FPGA进行计数测量,将测量结果与高信号源输出的相比较,计算其误差,如表1所示。
&&& 表l给出了各种的测量结果和误差。结果显示,本设计在1Hz~20MHz全范围内的测量误差小于2&10-6。从图4可以看出测量结果的误差分布在同一个数量级附近,达到了等测量的目的。在实际测试中发现,如果提高系统晶振的或者提高晶振的级别,测量的误差还会进一步降低。
&&& 详细介绍了等测量的原理,并给出等测量的思想在FPGA上的方法。测试结果表明该等测量方案误差非常小,在测量范围内误差恒定。该设计方案对测量设计具有一定的借鉴。
[整理编辑:中国测控网]
&&[7]&&&&[32]&&&&[24]
实现相关论文
频率相关论文
版权与免责声明:
①凡本网注明"来源:中国测控网"的所有作品,版权均属于中国测控网,转载请必须注明中国测控网 。违反者本网将追究相关法律责任。
②本网转载并注明自其它来源的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。如其他媒体、网站或个人从本网下载使用,必须保留本网注明的"稿件来源",并自负版权等法律责任。
③如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。
还没有 频率 相关的测控名词的解释您可以点击
中国卫星导航学术年会是一个开放 的学术交流平台,旨在加强学术创新,促进卫星导航系统的合作与交流;加强技术创新,促进卫星导航系
作为解放军总装备部批准的唯一一个国防电子类展会,中国国际国防电子展览会(简称CIDEX)诞生于1998年,在中国人民解放军总装备部
51%的网友读了:
FPGA问世已经超过20年,现在FPGA在复杂逻辑电路以及数字信号处理领域中扮演着越来越重要的角色,SoC以其
52%的网友读了:
随着通信技术、网络技术的迅速发展,大量网络设备接入网络,互联网用户数量正以几何级数增长。研究表明
Copyright (C)
All Rights Reserved 版权所有等精度频率计的实现-ST技术方案-意法半导体STM32/STM8技术社区
等精度频率计的实现
发布时间:
&&&&& 频率测量在电子设计和测量领域中经常用到,因此对频率测量方法的研究在实际工程应用中具有重要意义。常用的频率测量方法有两种:频率测量法和周期测量法。频率测量法是在时间t内对被测信号的脉冲数N进行计数,然后求出单位时间内的脉冲数,即为被测信号的频率。周期测量法是先测量出被测信号的周期T,然后根据频率f=1/T求出被测信号的频率。但是上述两种方法都会产生&1个被测脉冲的误差,在实际应用中有一定的局限性。根据测量原理,很容易发现频率测量法适合于高频信号测量,周期测量法适合于低频信号测量,但二者都不能兼顾高低频率同样精度的测量要求。
1 等精度测量原理
&&& 等精度测量的一个最大特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,刚好是被测信号的整数倍。在计数允许时间内,同时对标准信号和被测信号进行计数,再通过数学公式推导得到被测信号的频率。由于门控信号是被测信号的整数倍,就消除了对被测信号产生的&l周期误差,但是会产生对标准信号&1周期的误差。等精度测量原理如图1所示。
&&& 从以上叙述的等精度的测量原理可以很容易得出如下结论:首先,被测信号频率fx的相对误差与被测信号的频率无关;其次,增大测量时间段&软件闸门&或提高&标频&f0,可以减小相对误差,提高测量精度;最后,由于一般提供标准频率f0的石英晶振稳定性很高,所以标准信号的相对误差很小,可忽略。假设标准信号的频率为100 MHz,只要实际闸门时间大于或等于1s,就可使测量的最大相对误差小于或等于10-8,即精度达到1/100 MHz。
2 等精度测频的实现
&&& 等精度测量的核心思想在于如何保证在实际测量门闸内被测信号为整数个周期,这就需要在设计中让实际测量门闸信号与被测信号建立一定的关系。基于这种思想,设计中以被测信号的上升沿作为开启门闸和关闭门闸的驱动信号,只有在被测信号的上升沿才将图1中预置的&软件闸门&的状态锁存,因此在&实际闸门&Tx内被测信号的个数就能保证整数个周期,这样就避免普通测量方法中被测信号的&1的误
差,但会产生高频的标准频率信号的&l周期误差,由于标准频率f0的频率远高于被测信号,因此它产生的&1周期误差对测量精度的影响十分有限,特别是在中低频测量的时候,相较于传统的频率测量和周期测量方法,可以大大提高测量精度。
等精度测频的原理图如图2所示。图中,预置软件闸门信号GATE是由FPGA的定时模块产生,GATE的时间宽度对测频精度的影响较少,故可以在较大的范围内选择。这里选择预置闸门信号的长度为1s。图中的CNT1和CNT2是2个可控的32位高速计数器,CNT1_ENA和CNT2_ENA分别是其计数使能端,基准频率信号f0从CNT1_CLK输入,待测信号fx从CNT2的时钟输入端CONT2_CLK输入,并将fx接到D触发器的clk端。测量时,由FPGA的定时模块产生预置的GATE信号,在GATE为高电平,并且fx的上升沿时,启动2个计数器,分别对被测信号和基准信号计数,关闭计数闸门必须满足,GATE为低电平,且在fx的上升沿。若在一次实际闸门时间Tx中,计数器对被测信号的计数值为Nx,对标准信号的计数值为N0,而标准信号的频率为f0,则被测信号的频率为fx,则fx=(N0/Ns)f0。图2中的所有功能都在FPGA端实现。&
&&& 图2所示的单元完成了等精度测频的核心部分,在实际应用中多数时候需要将测量的结果通过显示设备进行显示。从图2可以看出本设计由于设计了锁存单元,将计数结果和一些控制信号进行了锁存处理,便于与单片机或者其他的单片机(MCU)相连,因此在该FPGA实现的核心单元基础上连接MCU,容易实现计数值到实际频率值以及相应的周期值之间的转换,并通过MCU控制显示设备将最终需要显示的结果信息进行显示。FPGA器件与单片机硬件接口电路框图如图3所示。图3中的等精度频率测量模块和锁存模块都由Altera公司的FPGA器件EP1C3T100C6实现,等精度计数模块的输出结果为2个32 bit的数据,为了方便与单片机连接,该2个32 bit数据由在FPGA器件内部的锁存器分8次锁存输出,单片机每次读取8 bit,连续读取8次即可,读取的Nx和N0的计数值经过单片机按照等精度频率计算公式换算成实际频率值,最后通过DM12864进行显示。
3 测量结果的误差分析
&&& 采用高精度信号源输出不同频率的正弦波信号,经过信号调理电路,整形得到的方波信号提供给FPGA进行计数测量,将测量结果与高精度信号源输出的频率相比较,计算其误差,如表1所示。
&&& 表l给出了各种频率的测量结果和误差。结果显示,本设计在1Hz~20MHz全范围内的测量误差小于2&10-6。从图4可以看出测量结果的误差分布在同一个数量级附近,达到了等精度测量的目的。在实际测试中发现,如果提高系统晶振的频率或者提高晶振的精度级别,频率测量的误差还会进一步降低。
&&& 详细介绍了等精度测量的原理,并给出等精度测量的思想在FPGA上实现的方法。测试结果表明该等精度测量方案误差非常小,在测量范围内误差恒定。该设计方案对测量频率实现设计具有一定的借鉴。
Tel: 3-8056
备案号: 苏ICP备号-2

我要回帖

更多关于 ep1c3t144 的文章

 

随机推荐