modelsim仿真教程ROM文件的问题

温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
阅读(2712)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
id:'fks_',
blogTitle:'如何用modelsim仿真包含quartus IP核的工程(转自T_Wind的BLOG .cn/softwindt)',
blogAbstract:'一、Quartus2中内嵌的Simulator与ModelSim仿真的差异? Quartus2中内嵌的Simulator也可以进行仿真,它可以分为两种模式,Functional与Timing。但是这里的Functional是基于门级网表的功能仿真,并不是HDL级的功能仿真。 二、第三方EDA工具不支持bdf文件怎么仿真? 首先需要将.bdf原理图文件转换为Verilog HDL等第三方EDA工具所支持的标准描述文件。在Quartus下,保持*.bdf为活动窗口状态,运行[File]/[Create/Update]/[Create HDL Design File for Current File]命令,在弹出窗口选择文件类型为Verilog HDL,即可输出*.v顶层文件。 三、如何在ModelSim中仿真Altera IP宏? Alt',
blogTag:'',
blogUrl:'blog/static/6',
isPublished:1,
istop:false,
modifyTime:7,
publishTime:6,
permalink:'blog/static/6',
commentCount:0,
mainCommentCount:0,
recommendCount:0,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}后使用快捷导航没有帐号?
查看: 1821|回复: 0
&&此帖已结(0)
最后登录在线时间0小时0分注册时间阅读权限90精华0积分1308帖子本月在线0小时0分
助理工程师, 积分 1308, 距离下一级还需 692 积分
积分1308帖子本月在线0小时0分
专家等级: 结帖率:100%
要仿真一带ram,rom的小系统,如果是通用rom模块,如何初始化其内容。略知是.mif(modelsim不支持),.hex文件均可。我想用在initial中用$readmemh读入,不知大家通常是如何做的?加载中,请稍候...
加载中,请稍候...
&&&商品评价
商品名称:
评价得分:
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我
此评价对我7/ModelSim电子系统分析及仿真(附CD-ROM光盘1张)/(货号:7)
开&&&&&&本:其它
页&&&&&&数:296页
字&&&&&&数:
I&&S&&B&&N:7
售&&&&&&价:35.00元
原书售价:46.00元
品&&&&&&相:
运&&&&&&费:北京市朝阳区
挂号印刷品:10.00元
上书时间:
购买数量:
(库存3件)
店铺名称:
店主昵称:
店铺等级:
拍卖等级:
卖家信誉:
卖家好评率:
实名认证:
担&保&&金:365元
地&&&&&&址:北京市朝阳区
电&&&&&&话:
开通时间:
商品分类:
详细描述:
郑重声明:1.买家朋友好,本店是实体店,可提供北京国税机打发票,如需发票直接在留言栏备注开票单位和项目就可以,欢迎机关团体订购!(电话:&010-;联系人:王先生)本店主韵达,如果他们不到请备注其他快递,如不备注,一律默认发平邮,另外要发EMS或者顺丰速递的,请联系我们修改邮费;(亲们注意:包邮的产品由我司指定运输方式配送)2.我们承诺在货款到账后1-3个工作日内为您发货(节假日往后顺延,缺货或需要从别处调货的图书我们会在第一时间在网上留言或发手机短信给您),预售书籍请亲们单独下单,我们会在到货后第一时间发货。亲们在收货时,务必当着快递员的面验货,如发现破损,少书等情况,直接在快递单上说明原因,可直接拒收,一旦签收,我们会默认为货物没有任何问题,我们将不再接受缺书,少书,破损等理由的退货或中差评,非常感谢亲们的支持与配合!3.本店所售图书由于数量大,难免会出现库存没有及时更新而显示有货现实没货的情况,所以请您拍前最好咨询一下是否有货,以免您拍下没货耽误您。我们都是很平常的工作人员,工作中会有失误与不满,如有不周之处请您理解并谅解,欢迎您指正批评。基本信息
书名:ModelSim电子系统分析及仿真(附CD-ROM光盘1张)
原价:46.00元
作者:
出版社:电子工业出版社
出版日期:日
ISBN:7
字数:
页码:296
版次:第1版
装帧:平装
开本:
商品重量:299&g
编辑推荐
《ModelSim电子系统分析及仿真》:ModelSim——全球使用最广泛的EDA仿真软件之一、ModelSim——支持Lattice、Altera和Xilinx器件仿真、基础知识-实训实例-工程实例、实例操作视频教学,轻松学习。
内容提要
《ModelSim电子系统分析及仿真》主要内容简介:ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。《ModelSim电子系统分析及仿真》以ModelSim&6.1f版软件为例,由浅入深、循序渐进地介绍了ModelSim&6.1f软件各部分知识,包括ModelSim&6.1f的基础知识、菜单命令、库和工程的建立与管理、Verilog/VHDL文件的编译仿真及采用多种方式分析仿真结果等知识。书中配有大量的插图和详细的讲解,并结合实例讲解使用ModelSim进行仿真操作的基本知识和方法技巧。
目录
第1章&概述&11.1&IC设计与ModelSim&11.1.1&IC设计基本流程&11.1.2&ModelSim概述&31.2&ModelSim应用基本流程&51.2.1&创建工程及工程库&51.2.2&创建新文件&61.2.3&加载设计文件&61.2.4&编译源文件&71.2.5&运行仿真&81.2.6&查看结果&91.2.7&工程调试&9第2章&操作界面&112.1&整体界面&112.2&菜单栏&122.2.1&File菜单&122.2.2&Edit菜单&162.2.3&View菜单&192.2.4&Format菜单&232.2.5&Compile菜单&232.2.6&Simulate菜单&252.2.7&Add菜单&272.2.8&Tools菜单&282.2.9&Window菜单&332.2.10&Help菜单&332.3&工具栏&342.4&工作区&342.5&命令窗口&352.6&MDI窗口&362.6.1&源文件窗口&372.6.2&波形窗口&372.6.3&列表窗口&382.6.4&数据流窗口&392.6.5&属性窗口&392.6.6&进程窗口&402.6.7&对象窗口&402.6.8&存储器窗口&402.7&界面的设置&412.7.1&定制用户界面&412.7.2&设置界面参数&43第3章&工程和库&453.1&ModelSim工程&453.1.1&删除原有工程&453.1.2&开始一个新工程&463.1.3&工程标签&473.1.4&工程编译&483.1.5&仿真环境配置&513.1.6&工程文件组织&533.1.7&工程及文件属性设置&54实例3-1&工程文件管理&593.2&ModelSim库&623.2.1&概述&623.2.2&库的创建及管理&633.2.3&资源库管理&653.2.4&导入FPGA的库&65第4章&ModelSim对不同语言的仿真&674.1&VHDL仿真&674.1.1&VHDL文件编译&674.1.2&VHDL设计优化&694.1.3&VHDL设计仿真&744.1.4&还原点和仿真恢复&794.1.5&TEXTIO的使用&80实例4-1&VHDL设计的仿真全过程&824.2&Verilog仿真&874.2.1&Verilog文件编译&884.2.2&Verilog设计优化&894.2.3&Verilog设计仿真&894.2.4&还原点和仿真恢复&944.2.5&单元库&944.2.6&系统任务和系统函数&954.2.7&编译指令&97实例4-2&32位浮点乘法器的Verilog仿真过程&984.3&SystemC仿真&&概述&&SystemC文件的编译和链接&&设计仿真和调试&&常见错误&1114.4&混合语言仿真&&编译过程与公共设计库&&映射数据类型&&VHDL调用Verilog&&Verilog调用VHDL&&SystemC调用Verilog&&Verilog调用SystemC&&SystemC调用VHDL&&VHDL调用SystemC&125第5章&利用ModelSim进行仿真分析&1275.1&仿真概述&1275.2&WLF文件和虚拟对象&&保存仿真状态&&Dataset结构&&Dataset管理&&虚拟对象&1345.3&利用波形编辑器产生激励&&创建波形&&编辑波形&&导出激励文件并使用&1445.4&采用描述语言生成激励&1465.5&ModelSim波形分析&&波形窗口和列表窗口&&时间标记&&窗口的缩放&&在窗口中搜索&&窗口的格式编排&&波形和列表的保存&&信号总线&&其他功能&&波形比较&1605.6&存储器的查看和操作&&存储器的查看&&存储数据的导出&&存储器初始化&&存储器调试&1685.7&数据流窗口的使用&&概述&&设计连通性分析&&信号追踪和查找&&设置和保存打印&1735.8&综合实例&174实例5-1&三分频时钟的分析&175实例5-2&同步FIFO的仿真分析&181实例5-3&基2的SRT除法器的仿真分析&186第6章&ModelSim的协同仿真&1946.1&ModelSim与Debussy的协同仿真&&Debussy工具介绍&&Debussy配置方法&199实例6-1&与Debussy的协同仿真&2026.2&ModelSim与Matlab的协同仿真&&简介&209实例6-2&与Matlab的协同仿真&211实例6-3&与Simulink的协同仿真&214第7章&ModelSim对不同公司器件的后仿真&2217.1&ModelSim对Altera器件的后仿真&&QuartusⅡ简介&&后仿真流程&224实例7-1&直接采用QuartusⅡ调用ModelSim进行仿真&224实例7-2&先用QuartusⅡ创建工程,再用ModelSim进行时序仿真&2357.2&ModelSim对Xilinx器件的后仿真&&ISE简介&&后仿真流程&242实例7-3&用ISE对全加器进行时序仿真&244第8章&ModelSim的其他功能&2528.1&C调试&&概述&&C步进调试与调试设置&2548.2&ModelSim的剖析工具&&运行性能剖析和存储器剖析&&查看性能剖析结果&&查看存储器剖析报告&&保存结果&2598.3&覆盖率检测&&启用代码覆盖&&覆盖率的查看&&覆盖率检测的设置&&覆盖信息报告&2678.4&信号探测&2708.5&采用JobSpy控制批处理仿真&&JobSpy功能与流程&&运行JobSpy&274第9章&ModelSim的文件和脚本&2779.1&SDF文件&&SDF文件的指定和编译&&VHDL的SDF&&Verilog的SDF&&SDF文件信息&2829.2&VCD文件&&创建一个VCD文件&&使用VCD作为激励&&VCD任务&&端口驱动数据&2899.3&Tcl和DO文件&&Tcl命令&&Tcl语法&&ModelSim的Tcl时序命令&&宏命令&294
作者介绍
是好书,而且发货速度也很快!
您可能感兴趣的商品
Copyright(C)
孔夫子旧书网
京ICP证041501号
海淀分局备案编号
购物车共有0件商品,合计:0.00元
商品已成功添加到收藏夹!

我要回帖

更多关于 modelsim仿真带ip核 的文章

 

随机推荐