用xinlinx ise调用model sim仿真时出现plese enter the location of your license file对话框请问怎么破

ISE与Modelsim进行FPGA后仿真相关操作
工具版本:Win7,ISE13.1,Modelsim SE 10.0a
建立Modelsim SE的Xilinx ISE仿真库的方法
1)安装Modelsim 和ISE(注册并破解)
2)将Modelsim根目录下的modelsim.ini文件的只读属性去掉
3)将compxlib文件所在目录(可查看确定 默认路径为Xilinx安装目录\Xilinx\13.1\ISE_DS\ISE\bin\nt)添加到环境变量path中
添加环境变量的方法:计算机—右键—属性—高级系统设置—环境变量—选择path—编辑按钮—变量值为:Xilinx安装目录\Xilinx\13.1\ISE_DS\ISE\bin\—确定即可
如果变量值已经存在,则不同变量值之间应该以“;”隔开)
4)打开Modelsim,在命令窗口(Modelsim下方Transcript)输入:(根据自己的需求)
compxlib -s mti_se -arch all -l all -w
-liball&&&&&
(所有语言都编译)
compxlib -s mti_se -arch all -l verilog -w -lib
(只编译verilog语言库)
compxlib -s mti_se -arch all -l vhdl -w -lib
(只编译VHDL语言库)
按回车键,然后请耐心等待一段时间,有可能是30分钟左右,窗口有可能假死。
将Modelsim根目录下的modelsim.ini文件属性设为只读
完成以上步骤,重新启动Modelsim即可在library栏中看到已经生成的Xilinx仿真库
利用ISE与Modelsim进行FPGA后仿真的方法
注:网络上有的文档中提供了两种方法,本文中只对其中一种进行了说明
Xilinx ISE默认仿真器设置
打开ISE,点击Edit—Preference—ISE General
下的Integrated
,在右侧的Model& Tech& Simulator
中下面将modelsim.exe
文件的所在目录C:\
modeltech_10.0a\win32\modelsim.exe添加进去。
注:本文以一个简单的具有50分频功能的工程来进行演示说明,所用语言为verilog
新建工程,点击New
Project…按钮,弹出New Project Wizard窗口,输入工程的名字(fp_prj),点击Next进入Project Settings窗口,需要将Simulator设置为Modelsim-SE
(Verilog、VHDL、Mixed根据情况自定),点击Next,Finish;
编写源文件,右键点击Hierarchy中的工程文件夹,点击New Source…,输入文件名(fp_verilog),点击Next,此处可以不做操作而在源文件中进行约束,点击Next,Finish;在进行以上操作时,Hierarchy上方的View选择为Implementation。
fp_verilog(clk,rst_n,fp
clk,rst_n;
&always@(posedge clk or
negedge rst_n)
&&&&&&&&&&&&&&&&&&
if(!rst_n) cnt&=6'd0;
&&&&&&&&&&&&&&&&&&
else if(cnt&6'd49)
cnt&=cnt+1'd1;
&&&&&&&&&&&&&&&&&&
else cnt&=6'd0;
&&&&&&&&&&&&&&&&&&
assign fp=(cnt&=6'd24)?
1'd0:1'd1;
接下来编写测试文件,View选为Simulation,右键单击Hierarchy中的工程文件夹,点击New Source…,输入文件名(test),点击Next,此处可以不做操作而在源文件中进行约束,点击Next,Finish,但是测试文件中的模块名中必须含有源文件模块名的信息
`timescale
reg clk,rst_n;
fp_verilog dt(
&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&&&
.clk(clk),
&&&&&&&&&&&&&&&&&&
.rst_n(rst_n));
&&&&&&&&&&&&&&&&&&
initial begin
&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&&&
forever #5 clk=~
initial begin
&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&&&
#20 rst_n=1;
&&&&&&&&&&&&&&&&&&
#2000 rst_n=0;
&&&&&&&&&&&&&&&&&&
在做仿真是,View选择Simulation,双击Modelsim Simulator下的Simulate Behavioral Model,在此操作下,ISE将自动对文件进行综合、实现和调用Modelsim仿真工具(第一次操作所需时间可能较长)。右键单击Modelsim Simulator下的Simulate Behavioral Model选择Process Properties…,可以设置仿真时间Simulation Run Time
仿真结构如图所示:每50个时钟周期fp信号完成一个周期,完成了50分频的预期任务
总结:从以上步骤可知,第一种后仿真方式操作步骤简单,流程规范,符合正常的逻辑,推荐使用第一种后仿真方式。
在编写测试文件时,应该注意一下几点:1.测试文件中的模块中一定要含有源文件模块名(如:test_fp_verilog中含有fp_verilog)。
2.例化名可以自定义(dut),但是前面一定要有源文件模块名来限定例化范围(如dt前要有fp_verilog)。
3.例化变量为源文件模块的输入输出变量,如果源文件中含有其他中间变量,无需例化。(如只需要对fp、clk、reset例化,不需要对cnt例化)。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解(转载) | 电子创新网赛灵思中文社区

我要回帖

更多关于 xinlinx 的文章

 

随机推荐