基于fpga实现的异步fifo emif fpga怎样实现与arm的接口

信息科技;中国科技信息2009年第2期;CHINASCIENCEANDTECHNOLOG;基于F1》GA的离述舞步;FIFO存储器的设计与实现;罗先哲1张仁吉吉2付大鹏21、贵州大学科技学院;2、贵州大学电子科学与信息技术学院;550025;中,存储单元中要读出数据的地址放在读指针中;状态标志产生逻辑通过比较读写地址指针的值朱判断存;摘要;本文在介绍异步Fl
中国科技信息2009年第2期
CHINASCIENCEANDTECHNOLOGYINFORMATIONJan.2009
基于F1》GA的离述舞步
FIFO存储器的设计与实现
罗先哲1张仁吉吉2付大鹏21、贵州大学科技学院
2、贵州大学电子科学与信息技术学院
550025
中,存储单元中要读出数据的地址放在读指针中。其基本结构包括双端口存储单元、状态标志产生逻辑和扩展逻辑,且允许同时对存储单元的2个端口(读端口和写端口)进行存取”l。
状态标志产生逻辑通过比较读写地址指针的值朱判断存储器的状态,并输出相
本文在介绍异步FlF0基本原理及结构的基础上,采用VerilogHDL硬件描述语言对异步FIFO存储器进行了RTL级设计。在设计中采用格雷码对读写地址进行编码,有效的避免了亚稳态的产生;并使用ALTEP,,A公司的集成开发环
性的课题11I。针对这一难题,人们通过不断地实践积累,提出了寄存器两拍同步法、异步握手信号、双口RAM以及异步FIFO等多种解决方案。其中,异步FIFO相对于寄存器两拍同步法具有采样数据准确等特点,可以保证大量数据在跨时钟域传输时的精确度。因此,在跨时钟域的数据传输中应用相当广泛。
现场可编程门阵列FPGA(Field
ProgrammableGate
应空满标志。如果2个值的比较结果为零,则FIFO存储器为全空,此时输出全空标志为真。反之,如果2个值的比较结果等于存储器的容量深度,则说明存储器全满,此时输出全满标志为真。还可以根据要求设置其他标志,如半满,将满,将空等标志。
扩展逻辑包括深度扩展与字宽扩展。深度扩展可以延展FIFO存储器的容量深度,在此模式下,采用令牌传递方法,按容量深度扩展结构,将多个存储单元进行级联,形成更深容量的FIFO存储器。在普通模式下(没有容量深度级联的模式),每一个地址在到达最大值后,会跳回到零。在容量深度扩展模式下,当地址指针到达最大值后,会发送一个脉冲信号到扩展端口,该端口把令牌传到另一个FIFO存储器中,直到令牌重新传回来,此时地址指针才会增加,从而实现深度扩展。字宽扩展叮以使FIFO存储器有更宽的数据通路,在此模式中,读操作、写操作和重传输都一样,因此要在字宽上扩展多个FIFO存储器,必须同时对每个存储器的状态标志进行操作生成“混合
境quartus
7.0对设计进行了编译仿真,最
后在该公司的Cyclone系列器件EPlC3T100(36上通过了验证。
异步FIF0;F
PGA;格雷码;亚稳态;Verilog
Array)是由美国
Xflinx公司于1984年首先开发的一种通用型用户可编稃器件,具有功耗低、可靠性高、可在线编程、可重构性、开发周期短、开发费用低等特性,被广泛的应用于高可靠性的高速数字电路系统的设计中[2j。本文对异步FIFO进行了分析和研究,并采用格雷码将地址指针同步到另一时钟域中,利用将地址分区的方法来判断空满状态。该设计采用Veri_logHDL硬件描述语言对电路进行RTL级设计,使用ALTERA公司的集成开发环境Quartus
曲‰tr∞t
Thi9of
paper
presented
theFIFO
strdctbl'etilegTL
b;毗prfncip慨arld
memory,and
theasynchronous
which
based
given
designShisby
Verilog
language.In
design,itusing
succeed
toand
eliminating
address
metastability
code.TheALTERA’sremindby
Cyclone
coding
designsoftwarethe
compiled
simulated
hst,itof
Quartus7.0.At
deviceEP1CST100C6ALTERA’St『)at,t『Ie
family.a删0胁脚u地shows
succeed.
design船
7.0进行了综
合仿真,最后将布局布线结果下载到该公
黼word,,.
A9ynch
rofl0us
code;
司的cyclone系列器件上进行了实现。
标志”,混合标志也包括令宅和全满标志.
这样才能保证FIFO存储器保持同步,达到字宽扩展的目的{4I。
FIF0;FPGA;Grey
metastabllity;Verilog
2异步FIFO存储器的原理及其结构
FIF0(FirstInFirst
Out,先入先出队列)存储器
随着集成电路工艺的不断发展,在同一个芯片上集成整个系统已成为可能,IC设计已进入SoC时代。在集成度提高的同时,异步数据越来越多,如何将这些异步数据在不同时钟域之间进行可靠的高性能传输就成为设计人员所面临的一个极具挑战
是一种双端u数据存储器,一个端口用于将数据写入FIFO,另一个端口用于将数据从FIFO中读出。通常采用的是基于带2个指针的环行FIFO结构。要写入数据的存储地址放在写指针
图1异步FIFO存储器结构框图
万方数据 
异步FIFO存储器的总体结构框图如图l所示。由图可知,该系统可以分为读操作和写操作两个部分,它们分别由读时钟和写时钟控制,且读写时钟彼此独它,无任何联系。执行读操作时,在读时钟的同步下,由读地址产生逻辑生成读端IJ所需要的读地址和读控制信号,执行写操作时,则在写时钟的同步下,由写地址产生逻辑生成写端口所需要的写地址和写控制信号I“。
址时,空标志置位,此时FIFO为空,读取操作停止,但可写入数据。写地址产生逻辑模块则负责产生FIFO的满标志,也分为两种情况,当写使能信号有效,且写地址=读地址一2时,或当写使能信号无效,且写地址=读地址一1时,满标志置位,此时FIFO为满,写操作停止,但可读出数据。在这部分设计中。用到的减法器使用流水线设计,减小了组合逻辑延时,提高系统工作频率。
在数字系统中,若要保l正数据正确打入触发器,信号与时钟之间必须满足触发器的建立时间(Setup
Time)in保持时间
(HoldTime)的约束,否则,触发器将进入亚稳态.此时触发器输出中|.日J逻辑或振荡,并将延信号传播路径级联式传播。一旦进入jIE稳态,触发器的稳定时间无法确定,且无法确知稳定后输出哪种状态,对系统
ht¨rSts*-qi
功能造成严重的危害,必须避免。而异步FIFO存储器的读、写指针的变化由不同时钟产生,是完全独立的,即空、满状态的判断足跨时钟域的,更易引起触发器的-亚稳态,所以在该设计中更应注意。本设计的解决方法是地址码采用格雷码,然后再使用丽拍同步器同步一下。对于格雷码㈦71,相邻码之间只有一位改变,对其同步时,最多只有一个触发器进入亚稳态,稳定后输出的要么是当前地址,要么是前一地址,可以很好的解决亚稳态的问题,而使用触发器打两拍,在同步一部信号的同时还可有效防止亚稳态的传播,更进一步保证r系统的可靠性。
3异步FIFO存储器的Verilog设计
由于存储器的状态决定了FIFO所执行的读写操作的正确与否,因此,设计FIFO存储器的关键就在于如何设计FIFO存储器的空/满状态产生逻辑。为了保证数据正确的写入或读出,防止出现向上溢出(overflow)或向下溢出(underflow),必须保证FIFO在满的情况下,不能进行写操作,在空的状态下,不能进行读操作。本设计采用读地址和写地址的比较来产生保守的空满标志。读地址产生逻辑模块根据读地址和写地址的差来产生FIFO的空标志。当读使能信号有效,且读地址=写地址一1时,或当读使能信号无效,且读地址=写地
4系统编译测试结果
本文设计的异步FIFO存储器,其容量深度为256words,宽度为8bits。在ALTERA公司的Quartus
S帅_-fql一tn●1110,1B姻口5‘2卸∞
02,05/20叽钉,m
y盯tI蛆
7OBulH了3轧utu,ⅡV盯1l札
B∥L‘loni∞●,mT叮-levdEntlty■-?n阳F-lly
7.0开发软件
T.tIl
Cydn聃
"lCnI嘶
lodtls
Pln-l
中进行了编译和仿真,并在该公司的Cyclone系列器件EPlC3T100C6中进行了实现。图2所示为异步FlFO经编译后的RTL视图。
图3所示为异步FIFO的fitter结果,由图可知,该设计使用了158个逻辑单元,占总逻辑单元的5%;使用了.23个引脚,占总引脚的35%;使用了2048=8*256位存储单元,占总存储单元的3%。
图4所示为该系统的时序仿真波形图。仿真时,取读时钟周期rdclk为10ns,写时钟周期wrclk为15ns。输入数据为十六进制,以1为单位线性递增。由图可知,在写使能信号有敛期间,异步FIFO读人数据,在读信号有效时将异步FIFO中存储的数据输出,在读李后,空状态标志复位,此时禁止执行读操作,写操作继续,直到写满信号置位,禁止执行写操作。验证了异步FIFO设计的正确性,符合了设计要求。
TI_1K
l。p‘tl幡吐“
l弱,2,910(5霉)
23,e50
f35■)
如?.1,…
T儿d…t4d
Q0.㈣H
2.“81∞,∞4(3t)
O/i(0■)
图3异步FIFO存储器的适配报告
本文采用VerilogHDL硬件描述语言设计了一个宽度为8位,深度为256字节的异步FIFO存储器。采用格雷码对读写地址
图2异步FIFO存储器RTL视图
编码,并用同步器同步跨时钟域的读写地址信号,成功的解决了亚稳态问题;并使用
QuartesII
7.0N其进行了编译仿真,最后
在ALTERA公司的Cyclone系列器件EPlC3T100C6上进行了实现,读写时钟最高频率可达235.57MHz,实验结果显示,该设计实现了要求的高速、高可靠性。
r‘-一t,r■一竹dt竹e_n
^l^l^0^0^O
图4系统时序仿真波形图
下转第94页扣知
万方数据 
中国科技信息2009年第2期
CHINASCIENCEANDTECHNOLOGYIhFORMATIONJan.2009
度的要求,这里取抽取倍数D为96,设计成5级3倍抽取CIC滤波器级联5级半带滤波器的滤波器结构。
滤波后得到低速率的基带I/Q两路信号,其采样率为300kHz/96=3.125kHz。将这两路正交信号送到瞬时特征提取单元进行瞬时特征提取。凶为【Ls系统是比幅体制的测角系统,所以我们主要关心的是信号的瞬时幅度a(m)。根据瞬时幅度的计算公式:
90Hz、150Hz信号和直流电平,DDM的运算结果由“Display”模块进行显示。
由图4可以看出,本次仿真的90Hz和150Hz信号的幅值相等,DDM为0,与预设参数一致,表明该系统模型有效反应了ILS信号的处理过程。
《畦上接第92页
机制。主要差异在于分布式的故障柃铡和block点的灵活选取,从而提高故障检测时间和网络带宽利用率。
本文介绍了ILS接收系统的基本原理,详细分析了导航信号的处理过程,通过建立Simulink模型,完成r系统仿真。仿真结果表明采用Simulink进行系统仿真,能够动态地反映ILS接收系统的工作过程,对ILS接收机的数字化提供了有效的依据。
5.以太环网保护的应用
尽管目前环形拓扑是电信级以太网中较为有效、关键的手段之一,但是新引入的信令控制协议也带来了一些l’口】题:多环组网时协议效率、可靠性、交会节点设备的压力、环上多跳带来的效率下降、环路的带宽算法、环网的扩容等。同时,多坏组网问题仍113需要深入研究,目前G.8032还没有明确的标准,而各厂商的实现也存在一些差异。
从保护能力上看,环网技术有天然的优势,环形拓扑是最简洁的具备冗余的拓扑结构。相比较双星形双归拓扑,环形拓扑可以节省一半的链路数量。从网络容量上看,环阙能够承载的流量受限于环链路带宽,因而通常环网规模会受到限制,扩展性比较差。从与流量流向的适配来看,环形组网适合对称型流量,不太适合运营商上下行流量不对称以及层次化网络结构。由此可见,环网技术适合于可靠性要求高的多业务综合承载场合。
以太网技术要达到电信级要求,高可靠性是其首先需要解决的问题。目前主要的几种电信级以太网技术在可靠性方面均能达到50ms的要求,部分以太环保护技术,保护倒换时间在200ms左右。从线性保护和环网保护标准的发展来看,以太网保护越来越融入电信级设计的思路和考虑。从应用来看,国内运营商的城域以太网层面较低,而且大部分是分离的二层网络,由
J.A所s卜一
ProcessingCircuitsAnd
口(脚)=√,2(肌)+92(朋)
可以计算出信号的瞬时幅度。瞬时幅度包含着我仃J所需要的90Hz、
50Hz信号和载波的信息,分别采用带通
和低通FIR滤波器对90Hz、150Hz信号和载波直流电平进行滤波。然后对90Hz和150Hz信号进行峰值枪测,分别得到90Hz和150Hz信号的峰值电平U.和U,,同时测得载波直流电平分量U。,根据调制度差计算公式:
参考文献一~r、1…1:一。。~~“锷
[1]杨小牛,楼才义,徐建良.软件无线电原理与应用[M】.北京电子工业出版社.
2001:1-2.
[2]李贺冰,袁杰萍,孔傻霞.Sirnulink通信仿真教程[M】.北京国防工业出版社.2006:
4--5.
DDM;‰一M。m=导一予
[5]张忠兴,李晓明,张景伟等.无线电导
可以得到90Hz和150Hz信号的调制度
航理论与系统….西安:陕西科学技术出
版社.1998:542―551.
Simulink系统仿真
根据航向信号处理的流程和仿真的基
嘲删上接第91页
本数据,将各功能模块进行搭建,建立ILS接收系统的整体模型,如图1所示。
其中信号源子系统,模拟接收到的空间合成信号,仿真模型如图2所示。计算DDM的子系统仿真模型,如图3所示。
最后设置好各项参数,将仿真时间设置为O.2秒,仿真结果如图4所示,分别为
参考文献“。。“‘=。一“…1
…Clifford
t,echniques
1’。。嘲
ECummings.Synthesisandscriptingdesigningmulti-asynchronousclock
de魄nsfA】.Proceedjngs
Conic].Bostm,USA,2001.
2005(4):54―56.[列Kan00polJlosIn.First―Out
N.,HallenbeckJMemory
SynopsysUsersGroup
[2]金德鹏,沙燕萍.AReraFPGA在数字通信系统设计中的应用[J】.电子技术应用.
于M络数量多、规模小,可以根据不同的网络场景,选取不同的技术;而国外运营商则需要在整个城域范闱内统一采用某种技术组网。这是国内外运营商在电信级以太网组网方面最大的差异,这种差异使国内外运营商在电信级以太网技术的选择卜也各有侧重,如国外运营商更看重技术的町扩展性;而国内运营商则更注重技术的可靠性、QoS及OAM等。因此,如何根据不同厂家技术和设备的特点,结合运营商自身的实际需求进行应用和部署,是目前国内
运营商急需解决的问题。
Signal
Applications[J】.IEEE
Systems,1
Transaction
986,55(5):556--558.
[4]幸云辉,杨旭东.计算机组成原理实用教程[M].北京:清华大学出版社.2004.[5】亿特科技.C'PLD/FPGA应用系统设计与产品开发[M】.北京:人民邮电出版社.
2005.
DDM计算子系统模型
[6】邹建成,李国富,齐东旭.广叉Gray码及其在数字图像中的应用[J].高校应用数学学报.2002,170):565-570.[7]Clifford
Ecummings,PeterNfke.simuIati∞
techni口ues
synthesis
for'asynchronous
design
啪.2002.1-18.
ILS系统仿真结果
as”ch唧10uspommr
com【)a『酬z】.
万方数据 
基于FPGA的高速异步FIFO存储器的设计与实现
作者:作者单位:刊名:英文刊名:年,卷(期):被引用次数:
罗先哲, 张仁矗 付大鹏
罗先哲(贵州大学科技学院), 张仁,付大鹏(贵州大学电子科学与信息技术学院,550025)中国科技信息
CHINA SCIENCE AND TECHNOLOGY INFORMATION2009,(2)2次
参考文献(7条)
1.Clifford E CPeter Alfke Simulation and synthesis techniques for asynchronous FIFO designwith asynchronous pointer comparisons 2002
2.邹建成;李国富;齐东旭 广义Gray码及其在数字图像中的应用[期刊论文]-高校应用数学学报a辑 .亿特科技 CPLD/FPGA应用系统设计与产品开发 20054.幸云辉;杨旭东 计算机组成原理实用教程 2004
5.Kauoopoulos N;Hallenbeck J J A First-In.First-Out Memory for Signal Processing Application1986(05)
6.金德鹏;沙燕萍 Altera FPGA在数字通信系统设计中的应用[期刊论文]-电子技术应用 2005(04)
7.Clifford E Cummings Synthesis and scripting techniques for designing multi-asynchronous clockdesigns 2001
相似文献(10条)
1.期刊论文 王宏臣.林咏海.WANG Hong-chen.LIN Yong-hai 基于FPGA的异步FIFO硬件实现 -电子与封装)
使用FPGA内部资源BlockRam实现异步FIFO,因为未使用外挂FIFO,使得板卡设计结构简单并减少了硬件板卡的干扰,给硬件调试工作带来了方便,也充分体现了FPGA的优势,这种方法对设计异步FIFO的使用具有很好的借鉴意义.实验通过VERILOG编程实现异步FIFO,对程序进行了功能仿真、时序仿真,并下载到FPGA芯片中进行了硬件仿真,实验结果达到了预期的参数要求,完成了FIFO软硬件设计.
2.学位论文 万鸣华 基于FPGA的图像处理加速研究 2007
随着微电子技术的高速发展,实时图像处理在多媒体、图像通信等领域有着越来越广泛的应用。FPGA就是硬件处理实时图像数据的理想选择,基于FPGA的图像处理专用系统的研究将成为信息产业的新热点。
本文详细介绍了一种实时监控图像处理系统的设计方案,实现了具有前端视频采集系统、图像预处理功能系统、图像显示系统。该系统采用
Altera公司的FPGA芯片作为中央处理器,由视频采集模块、异步FIFO模块、视频解码模块、I&'2&C配置接口模块、图像帧存控制模块、图像预处理模块、视频编码模块和FPGA配置电路模块等组成。模拟视频信号由CCD传感器送入,经视频A/D芯片SAA7113转换成数字视频信号后,送入到异步FIFO中缓冲。视频解码模块采用对视频数据流识别的方法获得图像数据,然后送入SDRAM图像帧存。图像预处理模块预处理图像数据并经视频D/A芯片SAA7121转换模拟视频信号送显示器输出。
本文通过采用FPGA实现图像算法快速处理与采用传统的软件优化相比较,说明FPGA实现图像算法快速处理是一种有效,简便、经济的方法,因此该课题具有广阔的应用前景和市场价值。
3.期刊论文 谢文华.高文华.Xie Wenhua.Gao Wenhua FPGA异步FIFO设计中的问题与解决办法 -单片机与嵌入式系统应用2009(8)
通过分析异步FIFO的基本结构和工作原理,以降低亚稳态的出现频率、充分利用异步FIFO的内存资源为主要目的,提出一种在FPGA内部实现的异步FIFO设计方法.本文在传统设计的基础上提出一种新颖的电路结构来准确判断空/满标志位的产生,即检测加计数器的方法;并用QuartusII对其进行仿真,得到了比较好的性能.
4.学位论文 杨飞 视频检测中FPGA图像采集预处理系统设计 2006
本课题受常州音成电子有限公司委托开发。
随着科学技术的发展,现代工业自动化程度越来越高,产品线生产节奏越来越快。传统质量检测手段成本高且检测效率低,已经不能满足现代制造业的需求。视频检测技术具有非接触检测、高精度、高速性和高效性等优点,适应自动化生产要求,已经开始成为现代化大生产中产品质量的有力保障。
本文详细介绍了一种视频检测中图像预处理系统的设计方案,实现了具有前端视频采集、图像预处理功能的FPGA子系统。该系统采用Altera公司的FPGA芯片作为中央处理器,由视频采集模块、异步FIFO模块、视频解码模块、I2C配置接口模块、图像帧存控制模块、图像低级处理模块、通信接口模块和FPGA配置电路组成。模拟视频信号由CCD传感器送入,经视频A/D芯片SAA7113转换成数字视频信号后,送入到异步FIFO中缓冲。视频解码模块采用对视频流数据识别的方法获得图像数据,然后送入帧存储器。图像低级处理模块预处理图像数据并经通信接口送到后端数字信号处理器做进一步图像检测。
系统在QuartusⅡ、ModelSim和Synplify软件平台下开发并在硬件上得到实现,达到预期效果。本系统还可应用在需要对图像进行高速处理的场合。
5.期刊论文 王韬.余宁梅.刘阳美.李勇.WANG Tao.YU Ning-mei.LIU Yang-mei.LI Yong 一种基于虚拟地址页的异步FIFO的FPGA设计与实现 -电子器件)
为了实现异步时钟域之间数据高速、稳定的传输,文章设计了一个基于FPGA的异步FIFO.采用格雷码作为地址编码,引入虚拟地址页来产生标志位.并
用Verilog HDL语言描述了深度为16的异步FIFO,在ALTERA的Cyclone系列FPGA上对电路进行了验证.根据逻辑分析仪观测的结果可知,设计的异步FIFO可以稳定工作在100 MHz时钟,达到了高速电路的设计要求.最后对设计进行了最坏情况的理论分析,证明了设计很好地避免了亚稳态问题.
6.会议论文 柏海鹰 一种灵活高速的数据传输设计及其在FPGA中的实现 2009
在FPGA+DSP结构的接收机里,要实现频谱分析的可控,要求灵活设计FPGA和DSP之间的数据传输.随着数字中频向宽带化发展,FPGA与DSP之间的数据传输速度要求越来越快.本文介绍了一种利用异步FIFO实现的应用于频谱分析的FPGA与DSP之间的高速数据传输设计,并介绍了这种设计在FPGA内的实现。
7.期刊论文 于海.樊晓桠.YU Hai.FAN Xiao-ya 基于FPGA异步FIFO的研究与实现 -微电子学与计算机)
通过分析异步FIFO的结构和关键技术,以减少电路中亚稳态出现概率为主要目的,提出了一种有效实现异步FIFO的设计新方法.结合FPGA对设计的异步FIFO进行了验证并针对两种FIFO模型做了性能比较,结果表明该设计大大提高了工作频率和资源利用率.
8.学位论文 谷会涛 基于“银河飞腾-DSP”的视频显示子系统的设计 2006
随着各种高性能数字信号处理器的出现,由以数字信号处理器为核心的嵌入式系统来实时高速地完成大数据量的数字视、音频编解码等多媒体应用已逐渐成为嵌入式系统开发的热门。本文对以“银河飞腾”―DSP为基础的视频显示子系统的设计实现进行了研究,针对两种方案进行了设计实现。
第一种方案是基于“银河飞腾”―D4B和FPGA实现的视频显示子系统。该系统中在“银河飞腾”―D4B上运行MPEG4视频解码程序,使用FPGA实现了视频图象分辨率的等比例放大,扫描方式的转换功能和将图象数据转化成标准的视频流,格式化输出的功能。该系统目前已经完成板级调试,系统接口兼容性好,性能稳定,可以流畅地提供一路BT.656格式视频流的输出。本文又继续分析了第一种方案的不足,研究了当前国际上各种数字多媒体处理器的大量文献,提出了第二种方案。
第二种方案是以“银河飞腾”―D4B核为核心,集成专用的视频处理部件和高速的外部存储器控制部件在DSP片内,设计一款全新的数字多媒体处理器“银河飞腾”―VDSP。本文就集成的视频显示子系统进行了设计实现。视频显示子系统中实现了一个异步FIFO模块,一个流水化的视频滤波模块和一个可编程配置的视频格式化输出模块。本文完成了视频显示子系统的Verilog代码设计,并对整个子系统进行了模拟。结果分析表明,与第一种方案相比,第二种方案中系统的性能得到了很大的提升,较好的解决了第一种方案的不足,可以支持各种标清和高清显示标准。
在本文的最后,讨论了“银河飞腾”―VDSP中集成的视频显示子系统的功能验证方法。功能验证的方法主要有模拟验证和FPGA仿真验证。给出了一种使用基于“银河飞腾”―D4B和FPGA的仿真板来模拟视频显示子系统接口时序,进行FPGA仿真验证的方法。
9.期刊论文 王淼.宋晗 异步FIFO的FPGA实现 -微处理机)
本文提出了-种用FPGA芯片实现异步FIFO的方案,重点强调了异步FIFO握手信号FULL、EMPTY的设计,并用VHDL语言给以实现.
10.学位论文 梁瑞宇 基于嵌入式linux的水利防汛视频监控系统 2006
本课题是南通市水利局委托项目。随着国民经济的迅猛发展,水利工程在国民经济中所起的作用越来越大,防汛更是直接影响国民经济发展的一个重要方面。本课题基于ARM平台,采用嵌入式设计方式,实现实时监控,解决了人工方式存在的观测数据误差大,系统维护难等问题。
本文在总结分析前人的研究成果的基础上,将先进的嵌入式技术、视频技术、网络技术有效地结合在一起,提出了一种嵌入式网络视频监控系统的设计方案。文章主要采用嵌入式处理器S3C44B0X、视频编/解码芯片ZR36060、TCP/IP协议栈芯片W3100A作为硬件平台,嵌入式uClinux操作系统做为软件平台。文章的研究重点为:①系统的硬件平台设计;②uClinux在S3C44B0X处理器上的移植;③FPGA技术在系统中的应用。利用FPGA技术,可以控制整个系统,方便调试,并且提高了系统的保密性。
系统在ADS和linux软件平台下开发并在硬件上得到实现,经完善后达到预期效果,并运用到水利工程现场。本系统还可应用在相近行业的视频监控领域。
引证文献(2条)
1.陈雪松.冯春吉 基于FPGA的夜视全景监控的设计[期刊论文]-科学技术与工程 .许盛.易浩勇 异步FIFO在USB2.0控制器中的应用[期刊论文]-电子测量技术 2009(8)
本文链接:.cn/Periodical_zgkjxx.aspx
授权使用:杭州电子科技大学(hzdzkj),授权号:247b9809-81df-4ac6-aba6-9f
下载时间:日
三亿文库包含各类专业文献、高等教育、生活休闲娱乐、中学教育、各类资格考试、专业论文、幼儿教育、小学教育、应用写作文书、基于FPGA的高速异步FIFO存储器的设计与实现_图文07等内容。 
 西南科技大学城市学院本科生毕业论文 I 基于 FPGA 的高速异步 FIFO 设计摘 要:异步 FIFO 作为一种先进先出通用存储器器件,它的应用非常广泛。它不仅 可以应用于...  基于FPGA的高速异步FIFO存... 7页 20财富值 基于FPGA的高速异步FIFO存... 7...设计中就必须有一个可用于随机存取的存储器,又要实现异步,即异步读 写,所以本...  基于FPGA的FIFO存储器设计_信息与通信_工程科技_专业资料。FIFO 有完整程序 已经...基于FPGA的高速异步FIFO... 7页 7下载券 基于FPGA的高速异步FIFO... 7页 1...  高速异步FIFO的设计与实... 暂无评价 4页 免费 基于FPGA的高速异步FIFO... ...(First In First Out)是一种特殊的存储器件,它可以实现存储数据的先进先出, ...  (读指针其他位=写指针其他位) 3.3 异步 FIFO 存储器的设计流程 3.3.1 ...基于FPGA的高速海量FIFO... 3页 免费 在FPGA中基于信元的FIFO... 2页 免费...  我们介绍了基于 FPGA 的异步 FIFO 设计,使其达到高速,稳定的在异步 时钟域之间...在设计中,存储器地址被组织成一个环列表,使 用格雷码作为它的地址码, 使得双...  异步FIFO 结构及FPGA 设计 10页 免费 基于FPGA的高速异步FIFO的... 4页 2财富...嵌入播放器: 普通尺寸(450*500pix) 较大尺寸(630*500pix) 预览复制 收藏此...  异步FIFO的设计与实现_工学_高等教育_教育专区。异步FIFO的设计与实现 ...[3]杨军,孔兵,宋克俭,等.基于 FPGA 的高速异步 FIFO 存储器设计[J].云南大...

我要回帖

更多关于 fpga uart fifo 的文章

 

随机推荐