三菱PLC编程抢答器价格程序,怎么可以编程让2个或3个同时抢答,请教各位老师指导。谢谢!

周热销排行
用户评论(0)
在此可输入您对该资料的评论~
添加成功至
资料评价:PLC三人抢答器 求梯形图 程序语句 越详细的资料越好!!_百度知道
PLC三人抢答器 求梯形图 程序语句 越详细的资料越好!!
我有更好的答案
首先,分配地址:1)输入用四个口:选手1为X01;选手2为X02;选手3为X03;复位为X00。2)输出口:选手1抢到为Y01;选手2抢到为Y02;选手1抢到为Y03。输入全部选用为常开按钮。输出为灯,谁点亮、谁抢到。按X00来复位点亮的灯,进入下一轮抢答。然后编程。由于不太方便画梯形图,因为不复杂,提供源程序吧:LD X01 ;
AND NOT Y02 ;
AND NOT Y03; AND NOTX00;
OUT Y01;LD X02 ;
AND NOTX00;
OUT Y02;LD X03 ;
AND NOT Y01 ;
AND NOTX00;
Y03;END仅供参考。PLC编程有很多方法可供选择,熟能生巧,以后可以多切磋。
我想问下,就是,有没有那种,如果选手1先抢了,设置那种短路的,之后即使2,3按了,但Y2,Y3的灯就都不能亮的呢?因为我觉得如果速度够快,视觉上造成同时按下的效果,会不会不太好啊?
PLC是按照扫描周期来工作的,按照上述小程序,扫描周期为微秒级,应该可以区分各选手的差异。前面提供的程序可以满足您的要求:一个选手按下,另外两个选手即使按下也不会亮灯。
哦,这样啊。多谢啦,以后再有别的,再向你请教啊
好的。请教谈不上,互相学习吧。
采纳率:15%
这东西很简单 自己网上随便找得到
我都找了一下午了,都木有合适的
那你要把条件写清楚啊 你不说是啥条件哪个怎么编
就是最简单的那种,老师交代的课题任务,初学的
好像是用的FN1X画的梯形图的吧
晕 是不是这样的条件啊 ①当主持人按下抢答按钮X0(连续按钮),灯Y0亮,此时,允许参赛者开始抢答。②若参赛者在主持人按下X0之前抢先按下抢答按钮,则属违例,违例指示灯Y1亮,且最先违例的参赛组指示灯亦亮,以便对其惩罚。③各指示灯亮后,均需等主持人按下复位按钮X1才熄灭。④若在主持人按下开始按钮5秒后无人抢答,则抢答无效
我想说,你这个是百度里搜到的,我早就看了的
其实只要是三人抢答器就行了,没有太多的要求
程序能运行
A I 0.0AN Q 0.1AN Q 0.2= L 20.0A L 20.0A I 1.0= Q 0.0A L 20.0AN I 1.0= Q 1.0A I 0.0AN Q 0.0AN Q 0.2= L 20.0A L 20.0A I 1.0= Q 0.1A L 20.0AN I 1.0= Q 1.1A I 0.0AN Q 0.0AN Q 0.1= L 20.0A L 20.0A I 1.0= Q 0.2A L 20.0AN I 1.0= Q 1.1这是西门子的 三菱跟这差不多 唉 这程序很简单
我只想说,完全看不懂~~~这个我们还没学啊!!大哥,找点实际点的啊
lwsh1101212 这个人说的就是对的 你说什么会短路他已经是互锁啦 怎么会短路啊 晕 你没有看懂啊
看懂啦,谢啦
文库里好像有一个,不知道是不是你需要的,你可以自己去看看!
怎么看啊?
你搜索PLC三人抢答器
他的那个应该是一个毕设的题目挺详细的
我搜了的,但是没发现合适的,所以才来求教的。那些课程的,貌似都不是用PLC编的,所以不行
为您推荐:
其他类似问题
您可能关注的内容
抢答器的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。PLC 四人抢答器的梯形图_百度知道
PLC 四人抢答器的梯形图
我有更好的答案
IN & & & X0开始按钮 & & &X1停止按钮 & & & X2一号选手 & & X3二号选手 & X4三号选手& & & & & &X5四号选手OUT & &Y0一号选手指示灯 & &Y1二号选手指示灯 & &Y2三号选手指示灯 &&Y3四号选手指示灯
采纳率:78%
S7-300的梯形图,15人以内通用抢答器,I0.0、I0.1......对应Q0.1、Q0.2......,I2.0为主持人手中的控制信号。
本回答被提问者和网友采纳
西门子PLCS7-200的。请自己转换为梯形图!LD
V0.1, 3LRDA
V0.0, 1LRDA
V0.3, 1LRDA
V0.0, 3LPPLPSA
什么要求啊?
其他1条回答
为您推荐:
其他类似问题
您可能关注的内容
抢答器的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
三路抢答器的PLC控制_课程设计.doc 33页
本文档一共被下载:
次 ,您可全文免费在线阅读后下载本文档。
下载提示
1.本站不保证该用户上传的文档完整性,不预览、不比对内容而直接下载产生的反悔问题本站不予受理。
2.该文档所得收入(下载+内容+预览三)归上传者、原创者。
3.登录后可充值,立即自动返金币,充值渠道很便利
你可能关注的文档:
··········
··········
课程设计任务书专业
设计题目三路抢答器的PLC控制
主要内容该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。
1. 主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。
2. 主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。
3. 主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。
4. 主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。
5. 抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。
6. 答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。
答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。
1. 理解课程设计内容及设计要求,查阅资料(第一周的星期一)。
2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。
3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。
4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。
完成后应上交的材料PLC外围硬件连接电路、程序清单及课程设计总结报告。
指导教师 签名日期 年 月 日
系 主 任 审核日期 年 月 日
第一章 系统设计内容及要求 1
第二章 硬件设计 3
2.1硬件选取 3
三菱PLC可编程控制器 3
按钮板块 3
数码显示电路 4
八字数码管. 4
2.1.5 CD4511简介 4
数码管显示电路 5
第三章 软件设计 6
3.1编程辅助器说明 6
3.2梯形图说明 7
3.2.1初始化 7
3.2.2抢答前计时 8
3.2.3答题时间 9
3.2.4抢答标志 10
3.2.5抢答灯控制 11
3.2.6蜂鸣器 12
3.2.7数据处理 13
3.2.8分数加减 14
3.2.9显示控制 16
3.2.10防过显示 18
3.2.11复位 18
3.2.12违规标志位 19
参考文献 20
该抢答器可作为智力竞赛的评判装置。根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其回答正确或错误,在工作人员操作下对答题者所显示的分数加分或减分。
关键词:抢答器
第一章 系统设计内容及要求
1.1抢答器功能:
三路智力抢答器有三个抢答按钮SB1~SB3,最先按下按钮有效,在此以后按下的按钮无效,伴有灯光、声音指示,并开始计时(答题时间),计时时间到(答题给定的时间),声音提示停止答题。如果抢答者答题正确或错误,主持人或操作员按下加分键或减分键,将对显示分数值加分或减分。该控制器组成框图如图1-1所示。
1.2抢答器设计要求
1.2.1抢答规则
1主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。
2主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。
3主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。
4主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。
5答题完毕按下复位按钮,恢复抢答器原来状态,为下一轮抢答做好准备。
6在抢答时间(10s)内,除抢答者按下按钮外,其它按钮按下无效。只有在答题者回答问题且按下加分或减分按钮后,再按复位按钮才能进入下一轮抢答。
7若出现违规,只有在按下违规减分按钮后,再复位才能进入下一轮抢答。
8若无人抢答,控制台绿灯点亮2s后,无需按下复位按钮自动进入下一轮抢答。
控制台,抢答者灯光,声响说明
1抢答有效:抢答者灯亮、控制台绿灯及声响。
210s无人应答:声响、控制台绿灯(HL4)点亮2s。
3违规:违规者灯亮、控制台红灯(HL5)亮及声响。
4答题过程:抢答者灯、控制台绿灯亮,50s后控制台绿灯闪烁。
5答题时间到:抢答者灯亮,控制台绿灯灭及声响
6声响时间为1s。
加减分,违规扣分说明
正在加载中,请稍后...PLC实训——实训二&抢答器控制&实训报告指导
掌握启保停电路的设计与应用;
掌握理论与应用;
掌握置位复位指令的使用及编程方法;
掌握抢答器控制系统的接线、调试、操作方法。
三、面板图
<img WIDTH="329" HEIGHT="243" src="http://simg.sinajs.cn/blog7style/images/common/sg_trans.gif" real_src ="file:///C:/Users/ADMINI~1/AppData/Local/Temp/msohtml1/01/clip_image002.jpg" V:SHAPES="_x" NAME="image_operate_18472"
ALT="PLC实训&&实训二&抢答器控制&实训报告指导"
TITLE="PLC实训&&实训二&抢答器控制&实训报告指导" />&&
系统初始上电后,主控人员在总控制台上点击“开始”按键后,允许各队人员开始抢答,即各队抢答按键有效;
抢答过程中,1~4队中的任何一队抢先按下各自的抢答按键(S1、S2、S3、S4)后,该队指示灯(L1、L
2、L 3、L 4)点亮,LED数码显示系统显示当前的队号,并且其他队的人员继续抢答无效;
主控人员对抢答状态确认后,点击“复位”按键,系统又继续允许各队人员开始抢答;直至又有一队抢先按下各自的抢答按键;
功能指令使用及程序流程图
置位复位指令使用
置位(SET)和复位(RST)指令将从指定地址的点置位或复位;如上所示:当X00有一个上升沿信号时,CPU置位M0;当X01有一个上升沿信号时,CPU复位M0;
程序流程图
端口分配及接线图
I/O端口分配功能表
控制接线图
按控制接线图连接控制回路;编写控制程序“四路抢答器控制”;
将编译无误的控制程序下载至PLC中,并将模式选择开关拨至RUN状态;
分别点动“开始”开关,允许1~4队抢答。分别点动S1~S4按钮,模拟四个队进行抢答,观察并记录系统响应情况。
尝试编译新的控制程序,实现不同于示例程序的控制效果。
实训思考题
尝试分析某队抢答后是如何将其他队的抢答动作进行屏蔽的?
若以上“四路抢答器控制”程序改为“八路抢答器控制”,程序应如何修改?要求画出梯形图(提示:可参考文档“八路抢答器设计.doc”)。
画出示例程序(梯形图):
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。

我要回帖

更多关于 知识竞赛抢答器 的文章

 

随机推荐