重复控制滞后一个销售周期怎么写这程序怎么写

当前位置: >>
三相逆变器DSP控制技术的研究
浙江大学 硕士学位论文 三相逆变器DSP控制技术的研究 姓名:杨成林 申请学位级别:硕士 专业:电力电子与电力传动 指导教师:徐德鸿
浙江久学硕.1学位论文摘要摘要随着近年来对高质量,高可靠性电源系统的需求发展,不间断电源系统(UPSUninterrupt
ible PowerSystem)正越来越广泛地被选用.以保护一些对供电敏感的负载如电脑系统、通讯系统、医疗系统等。而逆变器是整个不问断电源系统的核心。必须具有输出高 质量电压波形的能力。高性能数字信号处理器(DSP)的飞速发展,也使逆变器的数字控制成为今后的发展潮流。本文主要介绍了基于DSP控制三相逆变器系统的总体设计方案,其中包括SPWM控制的数字实现、逆变器输出电压的数字锁相、逆变器与旁路间的切换逻辑及逆变器与上位机的通讯。然后在分析逆变器模型的基础上,殴计了逆变器的输出Lc滤波器参数。在逆变器控 制策略上采用电压瞬时值内环和平均值外环的职环控制方法。并用TMS320LF2407实现数字 控制。电压瞬时值内环保证输出电压波形的正弦度,平均值外环实现对输出电压幅值的控制。}H压内外环匀采_H=I PI控制器,文中给出了PI控制器控制参数比较详细的设计过程。接着介宝f;{了该三相逆变器系统的硬件实现电路及DSP控制软件的流程图。另外还分析了逆变桥上 下管驱动信号上的死区对输出电压波形的影响。最后给出了实验波形。关键词:不间断电源系统逆变器数字控制DSP瞬时值控制 浙江人学硕I.学位论文摘簧AbstractNowadays,with the requirement of highf Uninterruptibte powerquality and reliable power system,UPS’Sassupplies)a∞widely selected for the proleotion ofs.'nsitive loads suchcorePC,communication systems and medical equipments.Inverter is theto have high quality outputofUPS and is requiredwaveform,With quick increase of the performance of DSP(Digitalsignalprocessing),Digital controlm becoming more popular in inverter system.This thesis introduce the design ofDSP control for three phase inverter,witch includes digitalrealization of PWM control,digitalonPLL,swap control between inverterandutility,andcommunication with MCU。Baseinverter model,inverter output L-C filter isinvestigated.anddouble*loop control scheme with TMS320LF240 am selected.The instantaneous voltage inner loop is usedtocontrol output voltage waveform to trackasinusoidalwaveform.Andandinner loopsthe outeraverage voltage loop guarantees accuracy of output voltage。Bothouteradopt PIcontroller.Detail design ofcontroller parameters,circuits and DSP softwarewe analyse the dead time effects ofalegiven.In addition, the end thePWM controlOffthe outputwaveform.Inexperimental results are provided.Keywords:Uninterruptible power supply;inverter;digital control;DSP;instantaneouscentrel 塑坚查堂堡:!堂丝堕苎苎二:兰塑堕――第一章绪论1.1背景‘1现代全球化通信技术和高精尖的精密加jl:T业的发展而带动起来的信息产业正以前所 未有的速度发展着,所有这一切对当今社会发展,经济和金融活动,甚至对我们每个人的生 活质量都带来了极其深刻的影响。现在已为AqJ愈来愈认识到的事实是:由于计算机和通信 没备等为代表的非线性负载在运行过程中所产生的“谐波污染”造成当今普通电网的供电质量的黹遍恶化。人量的运行实践说明:电网电压和频率的急剧波动,供电的瞬时和长期中断,在电网上所山现的各种人们无法预料和控制的干扰和高能浪涌都有可能造成计算机的硬件 损坏或导致计算机的计算错误和数据丢失。 为了满足有些部门,如:银行结算中心和证券交易系统中的计算机网络通信系统,电信 和移动电话通信系统,航空管理系统,大规模集成电路生产线及各种自动生产流水线,医用 临床系统,公路和铁道调度和售票系统等对高质量,高可靠电源系统的需求.近年发展起来的UPS(Uninterruptible Power System)不间断电源系统正越来越广泛地被选用。1.2不间断供电系统(UPS)概述㈨2所谓不问断供电电源是指电网(市电)输入发生异常或中断时,仍可以继续向负载供电, 并能够保证供电质量,使负载不受影响的电源装置。早期的不间断电源采用柴油(或汽油) 发电机一电动机一发电机组米实现电能变换的。随着可控硅(晶闸管)制造工艺的完善、质 量的提高和价格的降低,便开始出现了用可控硅作为功率变换主要元件的可控硅不间断电源 装簧。但可控硅是一种没有自关断能力的器件,而逆变器是以直流电源为输入能源,因此就 必须给每只可控硅殴计一套由电容和电感等元件组成的换向单元电路,这使得箍个不间断供 电系统很庞大而且笨重。到了舳年代,利用具有可控关断能力的功率晶体管来替换可控硅 米作逆变器的开关器件,这样就省去了换向电路,减小系统体积,提高功率变换的效率,改 善了动态性能,也提高了可靠性。近年来,随着功率半导体器件的迅速发展,各种高频化全 控型器件不断问世.如功率场效应管(MOSFET)、绝缘门极晶体管(IGBT),MOS控制的 晶闸管(MCT)等。这些新型的全控型功率半导体器件具有开关速度快,控制和驱动方便 浙江大学硕士学位论立第一章绪论等一系列优点,利j;fj它制制作的不间断电源装置具有重量轻、效率高、噪声小、操作控制灵活等一系列优点。图I―l为UPS的系统框图.主要由整流器三个部分组成:整流器(AC/DC)、逆变 器(DC/AC)、充电器(DC/DC)。整流器输出的直流电源向逆变器和充电器供电。逆变器逆变器把直流电源变换成所需的交流电(一般为50Hz、60Hz)向负载供电。充 电器向储能单元(蓄电池)输送能量,作 为后备能量加以存储,在市电异常或中断 时向逆变器直流侧供电。图1―1LIPS系统框图UPS按其运行方式,可以分成以下三种类型:后备式(Off-line)UPS、在线式(On-line)UPS、在线互动式(Line.interactive)UPS。1.2.1后备式UPS这种UPS在市电正常时,由电网直接向负载供电;而在市电掉电时。由蓄电池经过逆变器向负载供电。这种UPS对电网的畸变和干扰没有抑制作用,而且逆变输出一般为方波,供电质量差,因而仅作为一种应急电源使用。后备式UPS的结构如图1―2所示。T圈1--2后备式UPS结构1.2.2在线式UPS在线式UPS在市电正常时,通过整流器、逆变器向负载供电,同时向电池充电;在市 电超出整流器允许范围,或市电掉电时,由电池向逆变器供电。当逆变器出现故障时通过旁2 浙江夫学砸j:学位论文第一章绪论路开关切换到电网,由电网向负载供电。在线式UPS的结构图,如图1―3所示。在线式 UPS一般以PWM方式输出,输出电压THD一般在5%以内。从主电路的结构上可以把在线式UPS分为高频链式结构(高频机)和带上频变压器的1:频机。高频机有成本低。重量轻等优点,在小容量场合(1~20KVA)得到广泛应用。而在火型配电系统中,为确保电源 安全可靠,UPS的输出必须配备变压器进行隔离。...上-y幽1--3在线式UPS结构1.2.3在线互动式UPS在线互动式UI,S在市电难常时。由电网向负载供电,同时UPS对电网进行有源补偿, 保证供电质量;在市电断电的情况下.通过逆变器向负载供电.保证供电的可靠性。在线互动式UPS结构如幽l一4所示。.....LT图1―4在线互动式UPS结构在线互动式UPS实际上是一种补偿式结构,对于不同容量等级和不同应用场合有不同的补偿结构,常见的补偿方法有并联补偿和串一并联补偿。它的最大优点是具有较好的输入特性,具有很高的输入功率因数和较低的输入谐波电流。但它不是真正的在线式结构,对电网的补偿能力有限t所以它的输出特性一般比在线式UPS差.而且抗电网扰动的能力也较苹。 浙江大学硕士学位论文第一章绪论1.3逆变器控制策略逆变器的控制目标是提高逆变器输出电压的稳态和动态性能。稳态性能主要是指输出电 压的稳态精度和提高带不平衡负载的能力;动态性能主要是指输出电压的THD(TotalHarmonicDistortion)和负载突变时的动态响应水平。在这些指标中输出电压THD要求比较高,对于三相逆变器,一般要求阻性负载满载时THD小于2%,非线性满载(整流性负载) 的THD小于5%。这些指标与逆变器的控制策略息息相关。逆变器控制技术的发展主要经 历了四个阶段吼I1.早期逆变桥采用阶梯波形式输出,主要通过功率电路的设计改善输出电压波形, 如通过输出变压器的特殊设计提高输出电压波形的正弦度。2.脉宽调制技术(PWM)出现后,通过开环的脉宽调制方法使输出电压波形大幅度 改善,使逆变技术产生了一个飞跃。3.随着控制技术的发展,采用模拟的闭环控制方法和PWM技术相结合.使逆变器输出电压质量得到进一步提高。4.近年随着具有高速运算能力的DSP(DigitalSignalProcessor)问世,使逆变器控制的全数字化成为现实,许多先进的现代控制理论和方法在逆变器中得到应用, 使逆变器的稳定性和可靠性大幅度提高。 逆变电源的控制技术从总体上可以分为两大类:基于周期的控制、基于瞬时的控制。 基于周期的控制是通过对前一周期或多个周期的输出波形进行处理.利用所得到的结果 对当前的控制进行校正的控制方法。从本质上看。基于周期的控制是通过对误差的周期性补偿,实现稳态的无静差效果。早期的逆变器控制是采用输出电压有效值反馈的方法进行控制,控制框图如图1―5所 示a这种方法通过输山电压有效值与给定的EE/:K有效值的参考值比较,误差信号经PI调节 后与吲定的正弦信号相乘的结果作为SPWM的调制信号。这种控制方法仅对输出有效值进 行控制,而SPWM部分为开环状态,无法对输出波形的正弦度进行调节。因而这种方法的 输出波形稳压精度较高,稳定性好,但最大的缺陷在于逆变器的动态响应很差,输出电压的 波形质量无法控制,完全依靠逆变器的自然特性。当负载为非线性负载时,由于逆变器输出阻抗的影响。输出电压波形的THD比较大。4 塑!!查堂堡:!堂焦堡兰塑二兰笙堡一一――图1―5有效值反馈控制框图 在数字控制系统中一种典型的基于周期控制方法就是重复控制”蜊㈣”。重复控制的基 本思想来源于控制理论的内模原理,图1--6为重复控制框图,虚框中为重复控制器(N为载波数).c(z)为补偿器。0(z)为控制对象模型。圈1--6重复控制系统控制框图 在重复信号发生器的作}H下,控制器进行着一种逐周期点对应式的积分控制.通过对波 形误差的逐点补偿.稳态时可实现无静差控制效果。与积分环节相类似,重复控制信号发生 器对误差进行累加,只不过重复控制信号发生器以周期为步长,而积分控制是对误差进行连 续时间的累加。在重复控制中,补偿量是记忆的,所以它不像传统PID控制是依靠当前误 差状态进行控制,只要每个周期的扰动出现在固定位置,重复控制就可以通过几个周期的调 整算山合适的补偿量,能够将扰动量完全消除。重复控制对于周期性扰动的负载具有很好的 校正作J:l;I,但是对于非周期性扰动校正作用较差,并且在周期扰动出现时,校正过程较长, 动态性能比较羞,这是重复控制的一个重要缺陷。在实际应用中重复控制~般与其它控制策略相结合,以得到较好的输出波形和动态响应。为了提高逆变器输出电压波形的动态响应速度,出现了瞬时值反馈的控制方法。基于瞬 时控制是根据当前误差对输山波形进行有效的实时控制.可以分为单闭环PID控制I”,单闭环滞环控制【9I,瞬时值内环控制,电压电流双闭环控制【10i(111【12I。无差拍控制【13l㈣【151,滑模控制ll“等等。PID调节器姓按误差信号的比例,积分和微分进行控制的调节器,是技术成熟、应用晟为r谖钓一种调节器。其结构简单?参数易于调丝5在长期的工业应用中已积累了丰富的经 浙江大学硕士学位论文第一章绪论验。在实际应用中,根据实际工作经验在线整定PID各参数,往往可以取得较为满意的控 制效果。逆变器的PID控制框图如图l一7所示。图1--7PID控制框图框图中G(s)为被控对象.在逆变器中即为SPWM脉冲发生器、逆变桥及输出L--C滤 波器。c(s)为经典的PID控制器。其传递函数如下:Pc(s)=髟P十等+髟?s‘)(1_1)Kp、墨、蜀分别为比例、积分、微分系数。其中增大Kp可以加快系统响应时间。减小系统稳态误差,提高控制精度。但也会使系统相对稳定性降低,甚至造成系统不稳定; 积分的作用可以消除或减小控制系统的稳态误差,但积分也有可能使系统的响应变慢,并可 能使系统不稳定。减小积分作用有利于增加系统的稳定性,减小超调量。但系统静态误差的 消除会随之变慢。微分的加入.可以在误差出现或变化瞬间,按偏差变化的趋向进行控制. 起到一个早期修正的作用,有利于增加系统的稳定性,加速系统的动态响应。但微分作用也 可以放大系统的噪声,降低系统抗干扰能力。 滞环控制如图1--8所示,其中G(s)为被控对象,c(s)为控制器。输出电压和参考正弦 波电压比较,误差信号经过控制器c(s),其输出与滞环宽度相比较,输出信号绝对值大于滞 环宽度时?改变门极信号,这样就可以使得输出和参考给定在一定的误差范围内。当滞环宽 度越小,输出和参考给定也就越接近,但是系统的开关频率也就越高。滞环控制实现起来比 较简单,无需建立精确的主电路模型,稳定性好,但是也有明显的缺陷:开关频率不固定, 当主电路参数及负载参数变化时,开关频率会随之改变。针对这个缺点,出现了很多恒频的 滞环控制,但是实现起来较复杂。图t一8滞环控制框图6 浙江太学硕Jj学位论文第一章绪论瞬时值内环反馈般环控制框图如图1--9所示,内环为瞬时值环,用来控制输出电压波 形的正弦度,从而减小输出电压波形的畸变率。外环采用平均值环控制,以保证波形的幅值与参考值一致。幽l一9瞬时值内环反馈双环控制框图 电压电流般闭环控制摄先刚在直流调速系统中,采用转速,电流双闭环调速系统,转速 调节器对转速的扰动进行调节并使之稳态无误差,其输出的限幅值决定允许的最大电流;电 流调节器实现电流跟随,过电流自动保护和及时抑制电压扰动。这样的系统具有很好的动静 态性能。逆变系统中通过采样输出电感电流(如图l一10所示,c。(s)、C《s)分别为外环和内 环控制器)或电容电流羽I输出电容电压,将外环电压调节器的输出作为内环电流环的给定, 通过调节电流使得输出电压跟踪参考电压值,提高系统的动态响应。在设计上.认为电流内 环速度快于电压外环,在设计电压外环时,可将电流内环看成一个比例环节.这样电压外环 的设计可以大人简化。实践中,由于两个环的互相影响.参数整定比较困难。图1―10电压电流双闭环控制框图近年随着微机运算处理能力的提高,许多先进的现代控制理论和方法在逆变器中得到应 用,如无差拍控制、滑模控制、模糊控制、神经网络控制等等。1.4逆变器数字控制技术传统的I粗源都魁采.}I;|模拟控制系统,模拟控制经过多年的发展,已经非常成熟。然而. 模拟控制有着同有的缺点:需要火量的分立元件和电路板,元器件数量很多,制造成本比较 浙江大学碳士学位论文第一章绪论高:大量的模拟元器件使其之间的连接相当复杂.从而使系统的故障检测与维修比较困难a 模拟器件的老化问题和不可补偿的温漂问题,以及易受环境(如电磁噪声,工作环境温度等)干扰等因素都会影响控制系统的长期稳定性”“。专用模拟控制集成芯片的使用大大简化了控制系统。能方便实现一些电路控制,但是其 控制环路中的反馈控制网络仍需外接大量的电容电阻等模拟器件。除存在以上所述模拟控制 的缺点外,专用芯片的控制不够灵活,要实现复杂、先进的控制算法很困难。 随着微电子技术的飞速发展,数字处理器的运算速度越来越快,集成度越来越高,功能 更加强大,而成本也随着大规模的生产而下降,数字控制己成为当今电源发展的方向。1.4.1数字控制的特点数字控制电源与传统模拟控制相比,有如下特点:1.数字控制可以简化硬件电路,解决模拟控制元器件老化和温漂带来的问题,抗干扰能力也大大的增强。2易实现先进控制.改善电源系统的控制效果。3.通用性强,可以在几乎不改变硬件的情况下,通过修改软件来实现不同的控制算法 或提高系统的性能,易于实现大规模产品生产。4.采用数字控制可以更好地与信息化接轨,使电源系统的操作使用界面更加人性化, 可以给朋户提供更完整的操作和历史数据.还能实现故障自诊断等功能。另外,可 以通过通讯口,把电源系统接入PC机,实现远程监控等功能。在数字控制系统中要注意以下,L个问题‘”】:AD转换的精度和速度,采样频率的选取、 PWM载波频率,计算精度,控制算法的延时等。 AD转换器不可避免存在量化误差.而这种量化误差对系统来说是一个不利影响。选择 高精度的AD无疑能提高系统的控制精度,但是也同时增加了系统的成本。 根据采样定理-信号的采样频率至少为被控电路系统带宽的两倍,才不会出现混叠效应。 提高采样频率能提高控制系统的实时性,但是这通常受到AD采样芯片的速度及微处理器速度的限制。PwM信号频率与功率开关的性能、开关损耗、微处理器的运算能力等息息相关。PwM 信号频率越高,开关损耗越大.留给微处理器的运算时间越少。所以在系统设计时要认真考虑所选用的PWM频率。 浙江大学硕士学位论文第一章绪论在运算过程中,数据最初来自AD转换器,AD转换器的位数即采样的分辨率首先影响 后面计算所能得到的最大精度。-2)b,在数据处理及计算中,不可避免地存在需要对数据进 行截尾等处理,这也会影响最后的控制精度。字长效应及计算精度也是影响系统控制精度指标一个重要因素。 在数字系统中不可避免地存在采样和计算延时问题。这些延时对系统影响很大,不但影响系统的控制精度和实时性,还可能造成系统不稳定。这将在后面具体分析。由于采样和计 算所引起的数字延时,会使系统的带宽变窄.动态响应速度变慢【131。为了解决数字延时问题,一些设计者提出了带观测器的控制方法如无 差拍控制(Dead.bead contr01)。这些方法虽然在 一定程度上解决了数字延时问题.但观测器的建接口电站JL_一眦或Io口L一.1^‘11外围电路广P徽处 理器 (Mc【J)立需要有比较精确的被控对象模型,而且计算量也比较大,这在一些运算速度要求比较高的场台下无法实现。另外,由于被控对象模型的不确定性(如负载变化等),使这些控制方法在系统稳㈣拇磷功率 电路图1―1l数字控制系统的一般框图定性与负载适应性等方面存在一些问题。 数字控制系统的一般框图。如图1―11所示。在设计数字控制系统中,应根据系统的性 能指标选择台适的微处理器和AD转换器及其外围设备,以达到性价比最高。1.4.2数字信号处理器(DSP)的结构及内部资源(TMS320LF2000)ITSll伸1目前.随着计算机和信息产业的飞速发展,信号处理学科不但在理论上,而且在方法上 都获得了迅速发展。特别是信号处理器(DSPDigital SignalProcessor)的诞生与快速发展,使各种数字信号处理算法得以实时实现,为数字信号处理的研究和应用打开了新局面。由于 DSP具有丰富的硬件资源、改进的并行结构、高速数据处理能力和强大的指令系统,已经 成为世界半导体产业中紧随微处理器与微控制器之后的又一个热点,在通信、航空、航天、 雷达、工业控制。网络及家用电器等各个领域得到了广泛的应用。DSP具有下列主要结构特点:1.采用改进型哈佛结构,具有独立的程序总线和数据总线,可同时访问指令和数据空 问,允许数据在程序存储器和数据存储器之间进行传输。2.高度的操作“,『=行性”,在一个指令周期内可以完成多重操作,一般能够完成一次乘9 浙江人学硕L学位论文第一章绪论法和一次加法。3. 4. 5.支持流水处理。TI公司的TMS320系列支持四级流水线,如图1―12所示。片内含有硬件乘法器和高性能的运算器及累加器。片内集成了RAM。ROM,FLASH及双口RAM等存储空间,并通过不同的片内总线访问这些空间,因此不存在总线竞争和速度匹配问题.大大提高了数据读,写文章的速度。6.新型的DSP不但具有数据处理能力,而且集成了越来越多的其它部件,如A/D,比 较器。捕获器,PWM,串行口及看门狗等,为将DSP应用于智能测控,电机控制, 电力电子技术等领域提供了资源条件。幽I一12TI公司TMS320系列的四级流水线本课题中采用的控制芯片是TI公司专|lJ为电机控肯4设计的n^S320LF2407A。这款DSP 控制芯片有以下特点: ◇采_【I;l高性能静态CMOS技术,使供电电压降为3.3V,减小了控制器的功耗;40MIPS 的执行速度,提高了控制器的实时控制能力。◇片内有32K字的FLASH程序存储器和1.5K字的数据,程序RAM,544字双口RAM(DASRAM)乖1 2K字的单口RAM(SARAM)。◇两个事件管理模块EVA和EVB.每个事件管理模块包括两个16位通用定时器;8个16位的脉宽调制(PWM)通道。可编程的PWM死区控制。 ◇看门狗定时模块(WDT)。◇10位A/D转换器,最小转换时间为500nS。可以以两个8通道的双排序方式采样, 或一个16通道排序方式采样。◇~个控制局域网络(CAN)2.0B模块。◇ ◇串行通信接口(SCI)。16位的串行外设接口模块(SPI)。◇40个可单独编程或复用的通用输入,输出引脚(GPIO)。10 浙江大学顾1±:学位论文第一章绪论1.5本文选题意义与研究内容本课题所要研究的DSP控制三相逆变系统主要用在中等功率等级(10KVA一30KVA)UPS的逆变输出级。考虑UPS的特殊情况,该三相逆变系统输出电压要与电网电压实现同 步跟踪.以满足UPS供电由逆变器与电网之间进行切换的要求。另外还要实现UPS的各种 复杂的逻辑关系。逆变器的主要技术指标列在表1―1中。 表1一l三相逆变系统的主要技术指标项目参数士380VDC项目失真(线性负载)12%参数输入直流电压 寅流电压波动范围额定容量±5%10K、,A失真(非线性负载) 电压稳定度(静态) 电压稳定度(动态) 100%不平衡负载静态电压偏差 输出频率§%士1% 士4% 士2%功率 额定输山电压相电压设置8KW380V/220V 200一240V 三3;l50HZ/60HZ(自适应)峰值因素稳定度士O.05%(非同步)士5%(士2%,4-10%)庙波形正弦波频率同步窗口 板控制 100%不平衡负载相位偏差平衡负载相位偏差1200士1。120吐2。IOOVdl25Vdl50%维持时间3005110S/lS 过载能力短路电流(0,5s):200%本文的主要内容包括以卜儿个方面:第一章介绍UPS的概况,逆变器控制的常用控制策略及采用数字控制的特点,井介绍了数字信号处理器DSP的特点。第二章根据本课题具体项目,介绍三相逆变系统的结构。与uPS相关的同步及切换逻 辑,并探讨如何J=|I DSP实现SPWM调制及实现数字锁相。 第三章分析逆变器的建模,并根据具体电路,设计电压内外环的控制参数。 第四章介绍一些硬件电路的实现与DSP软件编程,并给出逆变器的实验波形。第五章是对本文的总结和展望。 浙习人学坝l‘学位论文第一二章三相逆变器系统设汁第二章三相逆变器系统设计2.1三相逆变器系统结构三相逆变系统的结构框I璺I如图2-1所示.主要包括三相逆变器、输出滤波器、DSP控制 板(包括RS485和CAN接VI)、信号调理电路、双向可控硅组成的旁路切换开关。SWMB 为维修旁路开关。TLl为逆变输出接触器,SWS为输出开关.FBY为旁路供电开关。三相 逆变器采用三相半桥拓扑。DSP控制板中的RS485接口是与上位机(MCU系统)进行通讯 所用,上位机主要负责键盘操作,显示及与微机(PC机)通讯。CAN(现场控制总线)接 口主要是为以后UPS并机所留。信号调理电路主要是对反馈信号进行调理,以便于DSP对 信号进行AD采样。双向可控硅组成的旁路切换开关使UPS可以由旁路供电切换到逆变输 出供电或由逆变输山供电切换到旁路供电。把该三相逆变系统框图简化后如图2-2所示,可以看山加上蓄电池的充电电路,就是一个完整的UPS系统。一一●袖山 滤波一≮熙’“啪制簧qⅡ^■n■■女m■蛐&■Ⅲ■曼。……I黜.燃●■■^t“n图2-l三相逆变系统框图 浙江人学硕I:学位论文第二章三相逆变器系统设计…维修"* 旁龉输入开关H侧输swMB……/~,苴甜【输入开关¥WIN…’’’田静奄开关l―Il! I柏出接触嚣…/…r1 E_到./.幽2-2三相逆变系统简图一/三相逆变系统中的前级(AC/DC)是采用三相双开关三电平PFC(功率因数校正),如 图2.3所示,三相PFC输山的直流侧电压为±370V左右。由于AC/DC部分不是本课题研究 的重点,在这里只对三相双开关三电平PFC电路做一个简单的介绍。该三相PFC电路可以 看成是上F两个BOOST电路串联在一起,这两个BOOST电路的BOOST开关和续流二极管分别是s1.D。l和s2,D。2。电路[作在CCM(电流连续方式)时,通过开关sl和s2分别控制止向电压最人相和负向电压最人相的电流来实现功率因数校正。当开关s1合上时 正向电压相对应的电感电流近似线性上升;sl断开时,D。l续流,在输出直流电压VDC+ 和相电压的作用下.电感承受反相电压,电感电流下降。同样s2和D。2控制反向电压相对应的电感电流。‘Dlj ‘D2j¥委i2.1.1逆变器主电路【D4j 印5j∞蝌№。’ :。墒鬻h_VnC_.I’一|生|2-3三相PFC主电路三相逆变器采J{=;|三相四线式逆变拓扑.主要由直流侧、逆变桥及输出L.C滤波器组成, 如图2-4所示。在这种电路中直流母线(电池)中点作为输出的零线,输出为三相四线制, 这种三相四线式结构可以很好地与前级三相双开关三电平PFC电路结合起来。由于三相四线式电路的每一相都是独立的,相互之间不存在耦台关系,因而可以把三相逆变器看成是三 个输出电压相位互差120。的单相半桥逆变器组合在一起。由于三相之间没有耦合关系,因而控制相对比较简单,单相逆变器的控制方法可以直接用在这里。一般采用单电压环或电压 浙江人学硕Jj学位沧文第二章三相逆变器系统殴计电流双环的控制方法。圈2.4三相四线式逆变器主电路拓扑2.1.2逆变器同步及与电网切换逻辑‘201121J不间断电源(UPS)常见的旁路切换框图如图2.5所示。UPS中设置旁路切换电路的目的主要是:(I)提高应急能力。当逆变器因故障失效时,只要旁路电源(市电或备用独立电源) 尚处于正常状态,UPS即可通过切换电路迅速由逆变状态转换成旁路电源供电状态,从而 提高UPS电源系统供电的可靠性。 (2)提高UPS的过载能力。由UPS供电的大多数负载在起动时会有浪涌电流,在工 作中会出现短时严重过载。若在选择容量时使逆变器具有承受上述电流的能力。这无论在经 济上是不妥当的。有了旁路切换电路,逆变器便可以只承担正常负载电流(保持适度的过载能力),而浪涌电流由旁路电源提供。维护接触器图2-5旁路切换结构图UPS的旁路切换有两种情况:顺切换(由逆变器向旁路电源切换)和逆切换(由旁路 电源向逆变器切换)。顺切换时。要检测旁路电压和频率是否在要求范围内,逆变输出电压 与旁路电源电压间相位是否锁定在允许的范围.只有满足这些条件才允许切换。逆切换时。要检测旁路电源与逆变输出是否“三同”,即同频,同相,同压。幽2-6是开关切换的等效电路,其中V1。v2分别表示旁路电源和逆变输出电源,K1,K2表示切换开关-R表示负载。rl,r2分别为电网和逆变器的内阻。无论是顺切换还是逆14 浙江大学颀上学位论文第二章三相逆变器系统设计切换,由丁开关KI,K2不是理想的,所以很难实现一个开关刚断开。另一个开关刚好合上。 如果一个开关已经断开,而另外一个还未合上,则会造成供电的瞬时中断。如果中断时间为负载所容许,则问题不人,如果中断时间过K,就失去了不间断供电的意义。此外,如果一个开关已经合上,而男外一个开关还未断开,就会出现旁路电源和逆变输出同时向负载供电的情况。这时,如果旁路电源和逆变输出不是同频.同相,同压,造成两者之间有瞬态压差,使它们之间存在环流。如果瞬态压差过大(如高于25V),则可能因环流过大而引发事故,造成UPS T作火效。这对本身要求高可靠性的UPS来说是不允许的。图2-6旁路与逆变输出切换的等效电路在线式UPS中,旁路电源与逆变输出之间进行顺切换和逆切换时为避免出现环流,就 要通过锁相环米实现逆变器输出电压与旁路电压同步,使逆变输出的电压的频率和相位时刻 跟踪旁路电压的频率和相位。在旁路和逆变器同时供电时.两者如果频率和幅值相同而相位1;同:旁路电压:V1=屹-sin(out) V2=%-sin(cot+口)式2―1逆变电压:式2―2两者电眶箍:△矿=Z.-sin(&玎)一%|sin(&玎+目)=一2吃?sin(詈)?c。s(删+黑)式2.3蛳差的贼M。=:%卜刮上面各式中,圪为电压幅值,口为两者相位差。式2.4根据式2-4,圈2-7给出了旁路电源和逆变输出同压(311V峰值)下,相位差引起的最 大瞬态压差一相位差引起的瞬态压差往往比电压峰值差引起的瞬态压差更为致命。 浙江人学碗.I二学位论立第二章三相递变器系统设计噼奄电JKf―_一――――_X≮一1…:二_一I_-^J J二一r/~1,_’/,rr――IL:二\―――――_,℃、、乒左 二7――幽2.7相位差引起的晟大瞬态压差 UPS逆切换和顺切换的流程图分别如图2-8和圈2-9所示(SWlN、FBY、SWMB参见 幽2-2。在逆切换中,为了使逆变器输山I乜压与旁路电压的瞬态压差尽可能小。在逆变器软启动过程中,除了输出电压的频率币l相位跟踪旁路电压的频率和相位外,还要求输出电压有 效值跟踪旁路电压的有效值。在逆切换前,如果检测到逆变输出电压与旁路电压没有“三同” (同频,同相.同压),|llII旁路可控硅立刻关断.逆变器的输出接触器吸合。由于输出接触 器吸合时,有几十毫秒的延时。所以供电有一个短暂中断。而在逆变输出电压与旁路电压“三 同”的情况F,则允许逆变器与旁路有短暂的交叠时间。在顺切换时,同样需要检测逆变输出电压与旁路电压是否同频同相。在同频同相的情况下,封锁逆变器输出,并触通旁路可控 硅。否则.封锁逆变器输出斤,经过O.5s的延时才触通旁路可控硅。逆变器失效情况包括:输“j短路、散热器温度过高、直流母线过欠压、输出过久压、IGBT模块失效等。图2-8逆切换流程图16图2-9顺切换流程图 浙江人学硕十学位论文第二章三相逆变器系统设计图2,lo给山的是在逆变器与旁路“三同”的情况一F,UPS逆切换的输出电压实验波形。 从图中可咀看山,旁路正常切换到逆变器供电时,由于交叠时间的存在,可以实现UPS的“零时间”切换。?¨.。l?JJ一≮ 卜 爨:-≠Xf、+虽度:I璺l 2―10“三同”时UPS逆切换输出电压波形2.2三相逆变系统的数字化在该三相逆变系统中,所有的控制都是由一块TMS320LF2407来完成。根据1.4.2节介 绍的DSP片内资源并结合该三相逆变系统,图2-I 1中给出了DSP片内资源在该三相逆变系 统中的作用。DSP有两个独立的事件管理模块.在该系统中,用事件管理模块A来实现三 相逆变器所需的六路PWM控制:事件管理模块B用它的信号捕获功能来捕获逆变输出所 需的同步信号;局域网络(CAN)留作以后的并机通讯接口;串行通讯接口(SCI)用来与 上位机进行通讯;可编程的I/O口用来作状态的输入,输出口;程序存储在片内的FLASH中, 用片内的RAM作程序变量存储器;利用DSP集成的ADC(模数转换,16通道。10位)来 作信号采样;用片内的WATCHDOG(看门狗)来实现程序自复位。 从幽2-II及上文中可以看山TMS320LF2407作为一款专为电机控制设计的DSP几乎集 成了数字控制系统所需的所有外围接口电路,这使得硬件电路设计简化了许多。17 浙江大学_颤一{+学位论文第二章三相逆变器系统设计幽2-11DSP片内资源在该三相逆变系统中的作用2.2.1DSP中SPWM的实现在模拟电路中,SPWM的实现如图2.12所示。在SPWM电路中把振荡器产生的高频载 波(三角波或锯齿波)和调制波(正弦波)分别送入比较器的同向端和反向端。经比较器输出的波形即为SPWM波。坍㈣删㈦『幽2一12模拟电路中SPWM的实现 在TMS320LF2407中,六路SPWM的产生是通过事件管理模块(EVM)的全比较来实 现。全比较的框图如图2-13所示。全比较主要包括硬件比较器、定时器、全比较寄存器cMPl、 全比较寄存器CMP2、全比较寄存器CMP3。把定时器的计数模式设置成连续增,减计数模式和连续增计数模式来模拟三角载波。载波的频率(开关频率)由定时器的定时周期和计数模式决定,具体的式二产如l?:8 浙江大学硕士学位论文第二章三相逆变器系统设计,1/s2而万其中,n为周期寄存器的时间常数。t为计时器时基周期。连k o时式模数计减,增续 在式2-5取2,而在连续增计数模式时取I。在连续增/减计数模式下.计数器的值从…0’开始计数,到达周期值时再往下计数。在这期间,三个全比较寄存器的值与计数器的值进行比较,在第一次相同时(增计数),对应的PWM输出脚(PWMl,2、PWM3,4、PWM5,6)的输出极性发生变化;第二次相同时(减计数),对应的PWM输出脚(PWMl,2、PWM3。4、PWM5,6)的输出极性再次发生变化。这 样就实现了PWM输出。DSP的这些比较.全部由硬件实现,所以只要每个开关周期更新全 比较寄存器的值,就可以实现PWM控制。图2.14中给出了采用DSP的全比较功能产生六路PW'M的示意图。叵}l兰竺竺!:}_一叵至丑一 叵至丑一图2-13垒比较DSP中全比较功能框图:∽ \;;;/1;-1:i--INII IIr\: ; 卜l I I IⅢ。:;。0卜_F 1; jd: -叫LLI I ● I llR图2―14DSP中SPWM波形的生成9 浙江大学硕一l‘学位论文第二章三相逆变器系统殴计2.2.2数字锁相的实现12211231124I由于在线式UPS需要进行逆变器供电与电网供电之间的切换,为了避免在切换时出现 环流,要求逆变器输出电压与电网电压同步。在UPS中同步锁相控制应完成以下功能:在 电网频率满足要求时.逆变器输出电压的频率和相位要跟踪电网电压的频率和相位;电网电 压频率超山精度要求范围或电网掉电时,逆变器输出基准频率(一般为50Hz或60Hz):在 锁相跟踪与自同步这两种状态之间转换时,要求逆变器输出电压的频率变化要平稳,以免造成转换过程中逆变器工作频率的剧烈抖动。在模拟电路中锁相环主要由鉴相器、滤波器、压控振荡器及分频器四个部分组成.如图 2-15。同步信号和经分频器后的反馈信号输入到鉴相器,鉴相器输出的相位误差信号经过滤 波后作为压控振荡器的输入来改变振荡器的输出频率和相位,从而实现输入与输出的同步。圈2―15模拟电路中的锁相环实现在数字控制的UPS中,逆变器输出相位滞后和超前两种情况下。数字锁相实现的示意 图分别如图2-16、图2-17所示。在逆变器输出相位滞后时.在第n一1个周期检测到逆变输 出滞后相位为Td(时间)时,则改变第n个周期逆变器输出的周期,使其由原来的T变为 T--Td,这样在第n+1个周期时,逆变器输出的相位便赶上了电网的相位,此时逆变器输 山周期跟踪电网频率,这样就实现了同步。在逆变器输出相位超前时,检测到相位超前量 Ta后,在F个周期改变逆变输山频率.使其由原来的T变为T+Ta,以实现同步。n-1 Line phaseInverter out1Capturel■广 ]广] ―]r] //1二 ∥l一nIn十1];_“// 旷 7p/图2-16逆变器输出相位滞后时,数字锁相实现示意图。 浙江大学硕J.学位论文第二章三相逆变器系统设计m…eLin一‰。广1h j广1{广1二广 j卜]:;h:卜■U 。/弋’ ],:I ],r『 ’∥ l’/乍图2-17逆变器输出相位超前时,数字锁相实现示意圈。幽2-18DSP中电网频率和相位差的获得在DSP中,电网频率和相位差的获得主要是通过其捕获单元来实现的,如图2.18所示。 电网电压经过过零检测电路变成方波信号.DSP的捕获单元在电网同步信号的每个上升沿 到来时,把定时器的计数值读到存贮器中,然后在软件上把计数器的值重新归零,为下一周 期计数做准备。这样捕获到的计数器的值,就是电网频率的周期。另外在检测到逆变器输出电压过零时,去读取定时器的计数值,这个值就是逆变器与电网之间的电压相位差。如果这个值小于半个周期,则逆变器电压相位滞后。反之,则逆变器电压相位超前。这两种情况可以分别见图2.16和幽2.17。在模拟锁相电路中,鉴相器的输出代表了相位与频率两种误差,只有在给定输入与反馈 输入信号频率相等时,鉴相器输出的才仅仅是相位误差。鉴相器的输出量经滤波后对压控振 荡器的控制是将频率与相位一起进行调整的。与模拟锁相相似,数字锁相(DPLL)也必须 对频率和相位分别加以修正,才能达到锁相的目的。在不考虑滤波作用时,对频率的一步修正为:z。,(n)=L。(疗一1)式2.6%,表示逆变器参考正弦波的周期,瓦。表示电网电压的周期。式2-6表示用上一周期电网电压的频率(周期)来作该周期逆变器参考正弦波的频率(周期)。2I 浙江人学硕士学位论文第二章三相逆变器系统设计考虑圈2-19的RC低通滤波器时,对闰中的低通滤波器用后向差分法可以写出其离散 化的表达式(即数字滤波器表达式):圈2―19RC低通滤波器式2.7r(n)=A?Y(n-1)+(1一爿)?X(n)式中一:滤波参数,A=『/(气+r),f=R-C为时间常数,瓦为采样周期。Y(n),Y(n―1)为本次和前次滤波值。 X(n1为本次采样输入值。在数字锁相中,将瓦(")作为滤波器的输入,T’(疗)作为滤波器的输出?按式2-7可得r’(n)=A?丁’(”一1)+(1一A)?ro(n)式2.8在数字锁相的算式中,仅考虑对频率修正是不够的,因为一旦同步信号的频率发生变化, 必然会产生相位误差。数字锁相中,在调整频率时,也必须调整相位。如果一开始就不修正相位.而仅仅按式2-8修正频率,将r’(疗)作为逆变器参考正弦波的周期,则无法调整相位差。这也属于相位没有锁定。考虑频率和相位同时修正时,数字锁相的计算公式为: r(")=T’(,O+B-p(n)式中口为相位修正系数.0<B<l。 式2-9根据式2-8和J式2-9即可得数字锁相的计算公式:T(n)=A?T’(”-1)+(1一一)?瓦(刀)+B?O(n)式中式2.10瓦为捕获单元捕获到的电网电压周期。丁’为频率修正后的周期。 ,为频率修正、相位修正后的周期(数字锁相环的输出频率.也就是逆变器参考正弦波的周期) 口为逆变器与电网电压之间的相位差。爿为低通滤波器的滤波参数。22 浙江大学硕士学位论文第二章三相逆变器系统设计曰为相位修正系数。根据式2.10可以作出数字锁相的状态变量图。如图2-20所示。圈2-20数字锁相的状态变量例由此可以画出数字同步锁相控制框图,如图2-2l所示。在电网正常时,如果电网频率 满足精度要求,则同步信号选电网的过零信号为同步信号,否则选自振荡的基准频率信号为 同步信号。同步信号与逆变器输出的过零信号,一方面进行频率修正,另一方面检测出两个 信号的相位差。修止后的频率再与检测出来的相位差进行相位修正,相位修正后的频率作为 逆变器参考正弦波i}勺发生频率。电朋 电雎逆变 输出电压图2.21数字同步锁相控制框图在本课题中?Rc滤波的时间常数取为同步信号周期(电网周期)的7倍,相位补偿系数取为0.25。数字锁相环的具体参数如表2-1所示。表2-1数字锁相环的参数 离散方程A程序定标后(Q15)B 0.25 A 28672 l―A 4095 B 8191l―A0.125『0,875图2-22和图2-23给出的是在相位锁定前与相位锁定后电网电压与逆变电压实验波形。在相位锁定后,电网电压与逆变电压的过零点在100¨S之内。 浙江大学硕士学位论文第=章三相逆变器系统设计图2-22tl时刻相位未锁定之前电网电压与逆变电压波形圈2―23t2时刻相位锁定后电网电压与逆变电压波形2.2.3DSP与上位机MCU的通讯在该数字控制三相逆变系统中的,人机界面主要是由上位机MCU来完成的。DSP与上 位机之间通过RS485进行通讯,系统的框图如图2.24所示。RS485通讯协议如F: 波特率:2400bps 数据长=度:8位停止位:l位 奇偶校验:无采用地址位多机通讯模式,这样RS485串行通讯的帧格式如图2-25所示。 浙江人学硕.Jj学位论文第二章三相逆变器系统殴计图2.24DSP与上位机之间通讯框图图2-25串行通讯数据帧格式上位机MCU的命令字有以下四种:开机命令、关机命令、传送数据及状态命令、参数设定命令。开机命令中除了开机命令字外,还包括逆变输出电压、旁路电压与旁路频率范围。DSP 接到开机命令后,把收到的数据(逆变输出电压、旁路电压及旁路频率范围)发回给上位机 确认.上位机核对无误后才发确认信号给DSP,DSP接到上位机的确认后才进行开机启动操作。DSP接收到上位机的关机命令后,直接进行关机操作。 在LIPS工作过程中,上位机不断要求DSP传送数据及状态字,以进行显示等。DSP上 传的数据包括:三相旁路电压、三相逆变输出电压、旁路频率、逆变输出频率、正负直流母 线电压、三相负载百分比、三相输出电流、散热器温度、电感温度、机内空气温度、UPS 工作状态、逆变器:[作状态、逆变器失效故障状态等。 参数设定主要包括:逆变器输出电压、旁路电压及旁路频率范围。参数设定命令与开机命令类似.DSP收到的数据也要经上位机进行确认。2.3本章小结本章.介绍三相逆变系统的结构,与UPS相关的同步及切换逻辑,并探讨如何用DSP 实现逆变器的SPWM控制、逆变器与旁路的数字锁相及DSP与上位机的通讯。从而可以看 山TI公司针对电机控制设计的TMS320LF2000系列DSP非常适合于逆变器数字控制。 浙江大学硕士学位论文第三章控制器设计第三章控制器设计3.1被控对象模型在第二章2.1.1节所介绍的三相半桥式逆变系统中,由于三相半桥电路的每一相都是独 立的,相互之间不存在耦台关系,因而可以把三相逆变器看成是三个输出电压相位互差1200 的单相半桥逆变器组合在一起。所以在分析被控对象模型时,可以以单相半桥式电路来分析。 单相半桥式电路如图3-1所示。图中El、E2表示正负直流母线电压;sl、s2为半导体开关 器件;L为输出Lc滤波器的滤波电感,r为其等效串联电阻,C为Lc滤波器的滤波电容:R为负载。在逆变电路控制模型中,输入的参考正弦波圪sin(cOt)和三角波比较得到的脉冲去控制各功率开关器件。由于开关是不连续状态,分析时我们采用状态空间平均法建立连续的状态 平均模型来分析。状态空间平均法是基于输出频率远小于开关频率的情况下,在一个开关周 期内,用变量的平均值代替其瞬时值,从而得到连续状态空间平均模型口”。图3-1单相半桥式电路将电感L用厶代替.电容c用去代替,可以推山输出电压u@)和a,b两点电压K@)之间的频域传递函数GO)为:∞)-鬻2参2研1弼式3-1 浙江大学硕:}学位论=定第三章控制器设计当忽略滤波电感的麓效串联电阻,时。式3-l可{三l蕊他失:式3-2G(s)2面疆1磊 2+=s+lCZJR救援瞧SPWM瀵麓嚣尊,p霹疆表舔为M=E(2S―1)其中,S为开关函数。当SI(或DI)导通时,S=I:式313当S2(或D2)导遴时,S=0:显然*由于开关溺数S的存在,式3-3中E不连续。对式3.3求歼关周期平均,得到:墨*Et(2s―1)式3,4曩一式3.5这里q表示K的开关周期平均值,砸S的开 美溺期平均壤; S=D(,)D(O为占空院,由翻3-2得爨:。=扣净其中V。为参考正弦波信号,式3-6‰为三角载波峰值。把式3-6代入式3-4有参:E。兰‘%式3.7所以宵: 塑垩查兰堡±兰些兰兰E苎三兰篓型堡垦盐――式3-8v.v卅¨。因此,从调制信号输入至逆变桥输出的传递函数为:‰=器=毒部分可以看成是一个比例环节,比例系数即为足。,。联立式3-1。可得到:式3-9从式3-9可以看山,在SPWM中,载波频率(开关频率)远高于输出频率时,逆变桥啪,。丽go(s)2锵器2忑石磊1五‘瓦E7船l。、RR这就是逆变器输入和输出的传递函数q(J),根据传递函数的表达式,可以得到其等效方框图如图3-3所示。p薄图3-3单相逆变器主电路等效方框图3.2逆变输出滤波器设计高频SPWM逆变器中,逆变器的输出LC滤波器主要是用来滤除开关频率及其邻近频带的谐波,女nl!t 3.4所示。考察一个滤波器性能的优劣首先是看它对谐波的抑制能力,具体 可以从THD值来体现。另外所选择的滤波器还要减少对逆变器的附加电流应力。电流应力 增人,除使器件损耗及线路损耗加大外。另~方面功率元件的容量就要加大.增加了系统的成本。但是,THD要小与滤波器引起的附加电流应力小往往是矛盾的。下面将从分析二阶 濒江大学硕士学位论文第三三章控制器设计Lc滤波器特性着手探讨滤波器设计的方法㈣|27l。嘲3-4逆变器输出LC滤波器作用示意图 在翻3-1中.忽略电感电阻及线路阻抗,滤波器输出电压相对于逆变桥输出电压的传递豳数为:鼢锵。墨LC。去RC LC式3-11式中%=去毛阻尼自然振荡角麟%=÷,r=面f=去后…比;这是一个典型的=阶振荡系统,幅相频率特性为:Go∞)2二iF毫2 i:ij三;丽1=爿(∞)P却旧’镶式,?,z毽蠢(掰)=其中2f旦 妒(曲=一黼辔纹I-(竺):峨根据式(3―12),可以求得对数幅频特性为 塑望查璺墅主兰丝笙苎三e搿,:2。逗』e国,。之。瑭。VfI_iIjoJ:.i鬲j蔓三童篓§!塑堂生――裁3一13co,,-谯挪《<1/f的低频段.一(∞)≈l,三(m)。0:谯国>》l,f的高频段。彳(曲)≈l,f2脚2tL(aO;.∞lg磁,。掰激,低簇段海远线是一条零努爨麴采平线,褥巍颧漾透线惫一条瓣率 为.40dB的直线。这两条线相交处的畿接频率为出l=l/z"-在交接频率附近,幅频特性与渐近线之阀露在一定瓣误莲,蓑毽取决予疆霆毙≤瓣魏,隧愿邃塞垂,粼误差愈丈。当f鹤。7簖时,,氍对数幅频特性上山现峰值。该二:二阶LC低通滤波器系统的伯德图如图3-5所示。0¨l1二遥:I―――_j一=;;;;一翘爨£[氍 释一番谅d,一”一毋-I一~一{――∑翅 K-一飞 ~―――J一二【j斟‘H州虬|攀: i:州Ⅲ)一”∞|啦幽3-5二阶LC低通滤波器系统的伯德圈(上圈为幅频特性.下图为相频特性) 肤上瓤的分析及蹦3-5中可以蓉搬,影响滤波效暴的参数主簧凝转折角频率‰秘阻尼诧‘?选择sPwM遵燮器鹩输出Lc滤波器的转折频率z(其中矗*象)运远低于开关频率五,它对开关频率以及其附近频带的谐波具有明恩的抑制作用。在本课题中,汗关频 率磊=16kHz,敬LC滤波嚣鹣转辑频率为开关蒙率熬十分之一,帮: 堂塑型兰堑坠!堂垡堕苎一一――――――――――堕篓!!塑堡盐z=而1?五=丽1,16抛:1.6概2石√三. 也就是:i=了1尹一=1.6kHzC~式3.14式3.15厉,有接近-40da的衰减。….竺圈3钟的Lc滤波器幅频特性中可以看出,秆转折频率时幅频特性蚋odB下降。 竺以竺∽滤波器的转折频率为开关频率的十分之吒开关频率处的谐波通过Lc≤:一也较小。黧竺竺眠流过滤波器电感的电流也就是流过功率元件的最大电流:。焉: 竺攀电流谐波越小,则半导体开关所承受的附加蝴力就越,J、,而且磊赢……当参考给定瞬时值为vm时,根据式3.5,输出的脉宽f2为:F.#!:三竺竺过滤波器还与逆变器的附加电流应力有关,这主要是由滤波器的滤波电感上,22扣净…q黝 ;乜二’n舯乃为耿周期(五2矿1在稳定后的理想系统中,输出电压K可表 示为:一I一L]驴毒馏式3.17。圈3―6滤波电压两端电压示意图 ’…一在‘时问内流过滤波器电感的脉动电流△f,为卟TAv印争‘詈(1十净=宰扣芦:掣式3,18从上式可以看出凯=。时,电流脉动最大。最大电流脉动虬max可以用下式算得 浙江人学硕。L学位论文第三章控制器设汁/SJ,max=等=去其中E为直流母线电压,L为电感值,正为开关频率。合式3一19和式3-15,晟后选取的滤波电感和电容的值如_F:滤波电感:L=660/.tH式3.19从式3-19中可以看出,滤波电感上的晟大谐波电流A/£max和电感L的值成反比。结滤波电容:C=221tF此时滤波电感的培人电流脉动△‘max为叱。。獗E=丽试‰堋(一)LC滤波器的转折频率为:式3.20工=丽1=1.32姚由丁.阻尼比f为:式3-2lf=去后在滤波器L和C确定后,根据上式画出负载R与阻尼比f的关系,如图3-7所示。~――― ――3-22Ir―――+rki;●D___-‘―――一一――丰+l1J\――一u、――_.们眦盯%¨毗o051015 20 25 30 35 40 45 50"60 65 70 75 80 85 90 95100RI鳘}3―7负载与阻尼比的关系 浙江人学硕士学位论文第三章控制器设计3.3控制参数设计㈣在数字控制系统中,控制参数的设计有两种常用的途径:一种是先把被控对象进行离散 化,然后再设计数字控制参数:另一种是直接在时域内设计控制参数,荐把设计的控制器离散化。在本文中采用后面一种途径。本课题中所采_E}j的控制方法是电压瞬时值控制。另外为了保证输出波形有效值精度,在瞬时值环外面加了个平均值环米对输山波形的幅值进行调整。这样,内环通过瞬时值控制获得快速的动态性能,保证输出畸变率较低,外环使用输出电压的平均值控制,具有较高的输山精度。通过3.1和3.2。仃对逆变桥和输出LC滤波器模型的分析,在忽略电感L和电容c的寄生电阻屙’系统的控带螺蝴图3{所示阁中GI(s)为被控对象’其中‰2袁④见式3-9)为逆变桥的增兢,可f上?c?且?s2+L?j+R)为忽略电感L、电容c的寄生电阻后的Lc滤波器传递豳数。H1(s)和H2(s)分别为内环和外环的PI(比例积分)控制器。输出电 压经摧流滤波后得到直流量与给定参考信号的有效值进行比较,得到的误差信号经外环调节 器后的输出作为内环参考正弦波的幅值。这个幅值乘以单位正弦波后作为内环给定信号。内 环给定信号与输出电压瞬时值比较,得到的误差信号经l|!|环PI调节器运算,得到内环的控 制信号。最后这个控制信号被送入PWM发生器,与三角载波调制比较后产生的PWM信号 经驱动电路后对逆变桥的半导体开关进行控制。图3-8逆变系统控制框图3.3.1瞬时值内环参数设计从豳3-8中可以看山,内环被控系统的开环传递函数为(反馈系数Kl取1): 浙江火学硕上学位论文G加J=丽‰工=丽1z第三章控制器设计式3.23从上式可以看出。被控系统是个二阶系统。滤波器的转折频率为: 式3_24内环采用的是Pl控制器,在设计Pl控制器的参数时,把P1控带4器的零点设置在滤波器的 转折频率处,这样就有:2j瓦Kh=z=1320胁式3―25J匕和K,分别为PI调节器的比例和积分系数,如图3-8所示, 接下来要确定的是补偿厉的穿越频率正。在图3-9中画出了补偿前后幅频特性的示意图。其中曲线1为补偿前被控系统的幅频特性,曲线2为PI控制器的幅频特性.曲线3为补偿 后的幅频特性。从曲线3中可以看到,补偿后的幅频特性在低频段以--20dB/dec下降,过了滤波器的转折频率Z后以一40dBfdec下降,保证了对高频段的衰减。图3-9补偿前后幅频特性示意图在确定穿越频率正时.如果穿越频率选得比较低,则在低频段的增益比较小,会影响系统的快速跟随性能l如果穿越频率比较靠近滤波器的转折频率。则在低频段可以得到比较 火的增益,改善系统的快速跟随性能。但另一方面从图3―5中可以看到,如果穿越频率靠近滤波器的转折频率,在阻尼比f小(逆变器空载或轻载)的情况下,转折频率及其邻近频率的增益有可能大于1,同时如果穿越频率靠近滤波器的转折频率,也会使补偿后的相角裕度 变小。从上面分析可以得到下面结论:穿越频率往低频靠,可以提高系统的稳定性,但会使 快速跟随性能变差;如果穿越频率往滤波器转折频率移,可以改善系统的快速跟随性能.但34 浙江人学硕I.学位论殳第三章拧制;{}}醴计会使系统不稳定‘2…。所以在确定穿越频率时,应在系统稳定性与系统动态响应中得到一个比较折衷的选择。在本课题中选穿越频率为转折频率的十分之一,所以有:补偿后的内环传递晒数为:印,=堑S些?瓦‰1正2寺’z=132Hz式3.26L?L?代?j’+.?P+ R式3―27由丁在穿越频率处,开环增髓为1,再结合式3-25有式3.28式中R。151"),L=660 JaH,C=22p.F,Kp,。,.=E=380(在设计时把三角载波的幅值当成1)。由式3?28可以解得内环PI控制器的参数:‘=2.63×10“.K。=2.18。所设计的内环PI控制器如F:2.63xlo。1 s+2i18JHIrJj=式3.29嚣 兰10搜茗:2篡::=::::::幽3_10系统补偿前后的伯德图(一l二圈为幅频特性,。F图为相频特性) 根据上面设计的内环PI控制器-可以画出系统补偿前后的伯德图,如图3.10所示。上 图中,曲线l为补偿前被控系统的幅频特性;曲线2为PI控制器的幅频特性;曲线3为补35 塑垩查堂堡生堂丝堡兰塑兰兰塑型堡堡盐――――偿后的幅频特性。卜图中,曲线l为补偿前被控系统的相频特性;曲线2为PI控制器的相 频特性:曲线3为补偿后的相频特性。从相频特性图的曲线3中可以读出补偿后内环开环的 相角裕度为93.60。 瞬时值内环加入PI控制器后的|=jJ环传递函数为:G,rJ):些型:鱼(丛“’。+Ⅳj‘5’’G。K‘;=。.R.%.J+K,。.胄.K。 Ⅲm‘R。%‘J+pm‘胄’IIL?C?R?si七L?s^七《R七Km。?R?Kp)?s七Km。?R?Kh由此,可以湎出补偿后内环闭环传递函数的伯德图,如图3.1l所示。l,――式3-3。‘一一~:::: :!E§一 :T m岳度J ‘('::一――J――:::: ~一_!§-?[―T―― rIl_干:: 什J― +一+一I『――相位图3―11内环闭环传递函数的伯德圈3.3.2平均值外环设计在设计平均值外环时,把内环闭环作为被控对象,其传递函数和伯德图分别为式3.30 承l幽3-1l。外环的控制框幽如幽3-12所示。外环的参考值是输出电压的参考幅值,反馈量 是输出电压的幅值信号,这两个都是直流量。由于外环仅调节输出电压的幅值.外环的输出 只是改变内环参考止弦波的幅值。从控制的角度看,被控对象的输入是50Hz正弦波的幅值. 输出也是50Hz正弦波的幅值,实际上被控对象的传递函数就是内环闭环传递函数幅频特性 浙江人学硕士学位论文第三章控制器设计上50Hz频率对应的增益。所以可以把图3-12中虚线框的部分等效成一个比例系数K。:K=IQcs)I。h。“0.93所以可以把外环控制框图由图3-12简化成图3-13。式3.31图3-12平均值外环控制框图倒3-1 3平均值外环控制简化框图在设计外环控制器时,把外环的反馈系数K2当成1,即K2=l。外环PI控制器零点的频率厶设置在100H2,u1]f.z=J00胁,转折频率矗。设置在10Hz,即工。=lOHz。所以有: 浙江大学颀1:学位论文第三章控制器设计―――― ―f――、寰,h~ 、~―_l‘一-’'~J――=―J―――――――j。。jj j jj jj j j。jjj相位图3-14外环开环传递函数伯德图啪J=粉闭环的相频特性。外耶刚环的传递函数为:K。.Kwp.s+R.Kwf式3?34(1+Kw‘K。。)s+K。‘K。I外环闭环传递函数的伯德图如图3-15所示,其中上图为外环闭环的幅频特性,下图为外环――f一―’‘k、~一!j、相 位兰曼:≮38 浙{I:大学硕I学位论文第三章控制器设计DSP对这个直流量采样厉进行控制:另一种是在数字控制系统中,把半个输出电压周期或 一个输出电压周期内对输出电压的采样值进行累积,并从累积值中求得输出电压的幅值。在 第一种方法中,低通滤波器存在着很人的滞后环节,而第二种方法,为了得到输出电压幅值, 存在数值累积这段时间滞后。所以这两种方法,很大程度上限制了外环的调节速度。本课题中,为了改善外环的调节速度。采用类似滑模的方法,在每个开关周期内都可以 获得输出电压的幅值信号。这样在每个开关周期内就可以对电压外环进行一次计算。获得输 出电压的幅值信号的示意图如图3-16所示。其原理是:在开关周期T。I+N时(N为一个输出电压周期内,输出电压的采样点数),把上次开关周期的输出电压累积值sum。减去开关 周期Tn的输出电压采样值v。,再加上当前开关周期输出电压采样值Vn+1+N,这样就得到了当前开关周期输山电压的累积值。J{;{递推公式表示如下:舶%+』=黝%一K+Km~刚这个累积值就可以得到输出电压幅值。式3.351:竺:,圈3一16获得输出电压的幅值信号的示意图3.4模拟控制器的离散化mIl311在上一节中已经设计了模拟域上PI控制器的参数.在这节主要是把模拟域上的控制器 离散化成数字控制所需要的差分方程。把模拟控制器离散化主瑶有三种方法:冲激响应不变 法,阶跃响应不变法,双线性变换法。这里采用数字增量式得到数字PI方程。设9。调节器的输山量为u(t),输入量为e(t),调节器的比例系数为E,积分时间常数为z.则PI调节器的传递函数为:等=kp∽去,式3.36 浙江大学硕士学位论文第三章控制器设计式3.37“ct,=K,[ec七,+去塞ec一,,:] “ct一?,=K,[。ct一?,+考篓ec疗,I]酬胪m)叫¨)=K∽)叫¨)】+砗争啦) =“(七一1)+Kp(1+事)?P(尼)一Kp?e(.i}一1)式,一,s式,-,。式3-4。式3‘41卜删十争【铲一砗一~“e(k一":第k一1次采样时,给定量和反馈量之间的差值;40 塑望查兰堡.!:竺些堡苎笙三翌丝型燮塑生――,在数字系统中,迎存在控制延时问题。这主要是数字系统在采样、计算等需要时间。假 设在第n个开关周期采样.计算得到的结果要到下一开关周期才能使用,这就使控制存在一个开关周期的延时。在开关频率以=16kHzl对,控制上的延时时间为乃=÷=配.5z,s。』S在连续域中,控制延时可以ⅢGa“J=e”码来表示。在离散域中以Gd似,=z“(延时时间为一个采样周期)表示。图3.17中画出了延时环节的伯德图,上圈为延时环节的幅频特性,下图为延时环节的 相频特性。从图中可以看出,在整个频域内延时环节的增益均为1,并不会影响系统的增益。 但随着频率的增大,延时环节引起的相位滞后情况越来越严重,在频率f处,所对应的相位滞后为:《pd({)={-1a?360。哉3-44享 蓊 嚣; 鞲V£二丰二壬}一J} 蒸糕 幸整 淘i 群卜斗 斗+{』l重时会使系统不稳定。薹萎捌:一障工{一{-_一一斗’岵 q-萋l=#一卜蹦3-17延时环节的伯德图延时环节的滞后,会使系统的相协裕度变小。从式3-44中可以看出,如果穿越频率Z越高,则延时环节的滞后对相位裕度的影响越大。延时环节的存在会使系统的抗干扰能力下降,严在数字系统中,为了尽量减小控制延时,就要合理分配数字处理器的资源和合理安排各 种时序。数字处理器的资源羽f时序的安排,往往关系到整个控制器的控制效果,有时还决定 控制器有没有办法实现的问题。在本课题中,时实性要求最高的是开关周期的定时中断.因为瞬时值内环,和平均值外环的计算都是在这定时中断里完成的。开关周期的定时中断中,4l i瓣41俐--HPi表3-I 模拟方程内环 外环2.63×10一s+2 J8模拟控制器对应的数字差分方程数字差分方程Ts ,2?Zo.107s+67 721H(k)=u(k―I)+3,3x10“e(k)一2.6x10。e(k―i}H(k)=u(k一|)+alHe(k)一q107e(k―1)工差分方程差中的系数a1,a2与控制程序归一化后参数对应关系如所示。控伟4程序中的参数小数点定在第十五位(Q15)。 浙i[大学坝上学位论史第三章控制器设计表3-2差分方程中的参数与对戚程序归一化后的参数 差分方程中的系数BI稷痔串对瘴参数(Q15)al赴 一26×104 一斑107a2内环3。3xio‘ 班1115556 3637~4412孙环―35能为了对模拟控制器与离散化席的数字控制器进彳亍比较,圈3.19和湖3.20中分别给出了 圭覆莰诗豹内努嚣楼簦控巷《器与数字控裁嚣韬德圈熬耽较。萁孛,上藿魏控铡器熬幅频特瞧, r图为控制器的相频特性。从这两个比较图中可以看出,模拟控制器与数字控制器的特性吻 合褥缀好。j,毛_一一^‘恻釜蹿:群一雕 l――――ll iI || l} i l必―_――、‘r书~一{IILr{}i1Illj:.毒二等 二嚣孺 辎墨啦瑶 二籍L~色豳3-19内鄢模拙控剿器与数字控裁嚣伯德鹜鞋:较蒜≮}一i:―二――――.二二――^鎏一{^蠢嘲w,毒一!::I箍 翟一―£;9一一 :一{――lIIII一|’Jq--!Ifff!萼丽”《|h1#,一}二一j {l图3-20外环模拟控制器与数字控制器伯德国比较 浙江大学硕1二学位论文第三章控制嚣蹬计3.5本章小结本章在探讨逆变器模型的基础上.根据实际项目设计了逆变器输出LC滤波器的参数。针对逆变器模型,在模拟域上设计了输出电压瞬时值内环和平均值外环控制器参数,最后把 模拟域上的控制器进行离散化,并把离散化后的控制器与模拟域上的控制器进行了比较。从 两者的伯德图上可以看山,他们的幅频特性和相频特性都吻台得比较好。 浙江大学硕.I哔位论文第删章软碗件实现及实验结果第四章软硬件实现及实验结果电路的硬件实现DSP控制三相逆变器系统中,主要包括以下功能电路:IGBT模块驱动电路;数字采样调理电路:同步信号过零检测电路;保护电路。下面分别加以介绍。4.14.1.1控制及驱动电路驱动电路的主要功能是把DSP送山的PWM控制信号,通过设置死区,然后经过隔离放火后去驱动IGBT模块。在本课题中,驱动芯片选用惠普公司的HcPL一316J,电路如图4-1所示。闰4-1IGBT模块驱动电路DSP送山的PWM控制信号,经过由R-C.D组成的网络和整形电路来完成死区的设置。在PWM脉冲的上升沿,PWM脉冲通过电阻R对电容C进行充电。电容C上的电压变化方穰如F:uo,(tJ=y∽(1一e f) 式中;式4-1‰为PWM脉冲的高电平;r=R?C为R.C时间常数。在PwM脉冲的下降沿,电容通过二极管D放电,电容的电压立刻下降。死区的形成示意 塑坚查堂堡.!:兰堡至壅图如图4-2所示。塑些里竺型壁壅堡垒壅堕堕墨――。,。。。―――]一..。..。『―――――]一一.一一一一.厂田j;Il旷:旷iI;ii;iiIJl圈4-2死区发生示意图圈中PWMI,2为DSP发出的上F桥臂PWM控制信号,Vcl,2为上下桥臂驱动电路上电容C 上电压,Vref/2为摧形电路的门槛电压(比较器反向端参考电压),DPWMl,2为经整形后的PWM波形,Td为死区时间:T,=r.1nr惫J乃=r-ln(2)舶之在%=吃时有:式4-3整形扁的PWM波形输入到驱动芯片(HCPL.3|6J)的1脚,经过驱动芯片隔离放大后 去驱动IGBT。为了加人驱动电流.在驱动:卷片的输出脚(11脚)后还加了一级推挽电路。 驱动芯片的14脚(Desat)J=}j来检测IGBT的饱和压降,当IGBT的CE端电压饱和(过流) 时,驱动芯片封锁驱动信号,以对IGBT进行保护,这个封锁信号,可以通过5脚(Rest) 清除。另外,驱动芯片发生过流保护动作后,芯片内部通过光耦隔离后,从6脚(Fault)输出低电平,这个信号可以通过DSP的t/O口进行识别。4.1.2死区电路对输出波形的影响分析1321133任何崮态的电子开关器件都具有一定的开通和关断时间.对于确定的开关器件,其 通断时间是不可能通过控制消除的,它必然会引起开关器件的状态与其控制信号状态的偏 移。在桥式电路中.同一桥臂上的两个开关器件工作在互补状态。为防止器件在通断切换瞬 日_J内关断管不能理想地美断而发生两开关“直通”短路故障,系统通常采用时间延迟的控制方 法,切换时关断信号立即发lU,而开通信号滞后关断信号一小段时间,保证“先关后开”。此46 堂【些查兰塑!:兰焦熊塞塑塑兰鉴竺堡生型垄壅燕堕墨一――时间段内,砥玎荚均芙断。形成一段控制死区e 笼蕊的存在会往窦际驱动开芙管豹信号与鬻想豹控裁信号僚移,郄死速效癌。在半轿递畿哇三路中,死区对波形的影响蜘嘲4-3所示。曲5幽 豳;醢雕4-3半蟒逆变电路中的延区效应(左边电感}毡滚为正,蠢逑电感电漉淹囊) 斑死区时问b内,同桥臂的2个开关管均处于关断状态,输出电流只能髓过二极管续流。在电流为lE的蟪段时间内(圈4-3左圈),只能由二极管D2续流,蚰间的电压被钳位经一E:戎电流免费鹣遮段时阚幽(瓣4-3霸鹫),只能盎二投蟹Dl续流,a¥淹她奄甄棱 钳能在+E。扶上瓣分析可知,程张睡时阗Td内,桥储的输出电聪其与输出电流的极性有关, 砸与驱动信号的控制逻辑无关。邀就使a,b问的实际输出电压与理想输出电压之间存在一个 电援菠,如图4-3掰暴。熊隧中W鞋嚣氆,健嚣l之闻豹误差电嚣蠢鞠下特点; 1)在每个开茂倒期肉均存在1个误差电鹾矗承冲。 2)脉冲电H;的幅值为2E,脉宽为Td。3)脉冲的掇健与I毽戆电流攒性楣反。 嘲414中画m了~个r频周剃浅矩{夏造成的谡羞电压脉冲。如聚用~个低通滤波器看误差£乜服脉冲,可以把谡蔗电压脉冲婶效成正负方波.如图中的胜绒所示。方波的幅值为:式44攻:掣j』式中:乃为死赋时间;Z为开必周期;2E为童流侧总电压。对正负方波进行傅立n}-分析,可得浆k砍谐波的螭俊戈:%=鲁4-5 艇礼:凡学娥!j学位娩殳帮心H鼋软硼姊安蠼t;5乏盛辍结果蠲4-4在一个,日矮厕艄内死区造戒韵误麓电珏脉冲 南妒漤筹I垃蹑的基波分凝与输n”E感哇圭滤反攘,弼蕊会对鞠趣瞧压造成一定豁蒸波电摄 搦火。粥外由]:逆糍器输出Lc滤波器是按照开关频率谐波而设计的,由谡差电压目f入的3, 5,等甄次潴渡荑法斑劐宥靛衰减,款嚣绘输i&逛莲选袋了严重波形璃变。 往安际电路。h由】j电感电流脊牧波,电流纹波的最大值如斌3-19所示,唯l感越小, 邀滚绞波戴越大。l廷薅迄滚缎渡鹣存巍。捷电感电流在蒸渡过零焦璐逶熬每一个舞麓鬟耪肉食有两改过零点,撕j|茎l 4-5所示。潮4m5电感电流基波过零点附近时的甄嚣效应在1.1期间t lb感电流为正。二极管D2续渡;a,b问的迤援为一E;{2甥漆,舞关管S2开通,电感电流为负,曲间的电赋仍为一E。S2荧断时,电感电流通过二极管D1续流。嚣’b闯戆电压炎+歉戮K煺凌,电感电滚为覆,舞美警sl嚣遴,曲同鹣毫匿掰为+承馈r米的分卡斤与甜觚…样。从圈4-5中a,b两点间的理想输出电聪波形与戴实际输出的电压渡器}}鞍,珂鞋霉撤≥t嚣封a嘉嚣煮瓣蕊转出邀匿鹭置影嫡。 幽4-6中(a)煺刚Pspice仿真的遵变器辅山电压与电感电流的波形圈。(b)为实验波 黪整。棼囊黠,遂变器兔拜坪控裁,帮芙菝攀麓]6kHz,琵区瓣溜为I.S#S。飙鞠中可{;l看 小-输山叱骶枉一个‘开关周期J~存四处比较明赋的畸变点。畸变点发生在电感电流找一个辩 舞蠲弼肉剐好垒为澎,或尉好垒为受翡意上。这也是由丁¨衽电感融流基波过零点附避时,不 群镀:北区效席?丽谯IH感l乜游垒为JE戏全为负舱这段时阀瞧,则存在延嚣效瘫的缘敞。48 浙江人学砸1.学位论文第旧章软硬件实现及实验结果㈠/f懿-一拳 薯一J_j∥ 文;I、一鐾《;r-卜●豪罗彩vj阏彰:;(b)实验波形图…^。’f…。圈4-6死区效庸(a)Pspice仿真波形死l廷效应的补偿生要有两种方法:一种是检测出输出电流的极性,并根据电流的极性产 生一个补偿信号叠加到参考电压上;另一种方法是检测逆变器的桥臂PWM输出电压与参考PWM JU压的偏筹,提供1个补偿信号叠加到参考电压上。当系统采用闭环控制时,闭环控制对误差信号的实时补偿也可以减小死区效应对输出电压波形的影响。4.1.3数字采样调理电路在数字控制系统中。通常无法对所需的控制量直接进行AD采样,因而通常需要把这些量经过调理后,才能被数字系统进行采样。幽4.7是本课题电压采样所_【lj的调理电路,主要包括:差分滤波电路、直流偏置电路和 限幅电路。由于选刚的控制芯片是TMS320LF2407。其AD转换是单极性的,所以输出电压 经差分滤波后,还要经过…级直流偏置电路,偏置的赢流量为AD转换参考电压的一半。另 外为了防It采样电压超山AD采样的范围.在直流偏置电路后还加了限幅电路。酝 莲 雀 。―t十下酗4-7输出oal玉,采样的调理电路限幅v】一]?m-J●J对丁.三路旁路电压,所需要的是他们的幅值。在调理电路中.把输出电压经差分滤波后通过绝对值电路午|I二级RC―RC低通滤波器(转折频率为7,2Hz)后得到的直流平均值与其幅值的比例系数为砉,所以。sP中采样到该赢流量后乘以詈就可得到旁路电压的幅值。 浙江大学硕十学位论文第四章软硬件实现及实验结果…………_-图4-8旁路电压采样的调理电路莲 筐I谣:瓣ct吨甲 T T由于逆变器的输山电压波形耍与旁路电压同步,所以电路中要把交流的旁路电压信号, 通过过零检测电路变成方波同步信号。过零检测电路如图4-9所示。为了防止旁路电压过零 点附近由于干扰引起的检测误动作,在旁路电压信号输入到过零检测电路前通过两级的 RC-RC滤波(转折频率为154Hz)。由于Rc.Rc滤波器的引入,会使得到的方波同步信号 与旁路电压间有一定的相移,所以在数字锁相的程序中,检测输出电压与旁路电压的相位时, 要把RC?RC滤波器滞后的相位补偿掉。J’’。1―r。一一 。一上一上T二级Rc滤波电路尺1 T 丰 奉≯寸一过零投捌电路I图4-9旁路电压过零检测电路4.1.4保护电路逆变器的保护主要包括:过流保护、过载保护、过温保护、直流过欠压保护、逆变输出过欠压保护,另外还有驱动芯片的短路保护。在本课题研究的逆变系统中,采用的保护策略如图4-10所示。图中虚线框部分为逐周 过流保护,其原理如一F:逆变器输出滤波电感的电流(流过开关管的电流)通过比较器分别 与设定的最大正负电流值进行比较,如果电流值超过限定值,比较器就输出低电平。比较器 的输出经接形电路后输入到D触发器锁存.并把PWM信号封死。当电流降到限定值内时, 触发器16kHz的时钟信号就把锁存的过流信号清除.使PWM信号可以正常工作.从而实 现逐周过流保护。逆变器的过载保护、过温保护、直流过欠压保护、逆变输出过欠压保护主 要是通过DSP的检测来实现的。当DSP程序检测到这些故障发生时,通过I/O口发出的信 浙江大学硕士学位论空第赔帮软硬件痰现及实验结果母。把逆变器的PWM控制信号封梦E.从而实现对逆变器的保护。图4.10逆变爨的保护燕略4.2功能实现的程序流程图本课题研究的DSP控制三相逆燮系统的主要功能镪括;逆变器输出波形控制,逆变嚣 输{lj电压与旁路电艇同步控制,逆受器与旁路之间的切换,DSP与上位机芝间R¥485通讯。这些功能,Jk乎都怒由DSP款{申来裳现的。软转中的子凝痔_蠡l其对应的功瞧烈在袭4-1中;擞4.1软件中的子程序和其对应的功能 实凌珐能予程垮豫 定时中断子程序 旁路虢态检溺予稷痔 逆变器状态检测午程序 旁路与逆变比较予程序 旁路跳逆变子程序 逆变跳旁路子程『葶 逆变器较癌动子撰彦逆变器过载子程序实现三相电压外环。内环控制算法;检测旁路与逆相位蒺。 检测三稿旁路的电压与频率。 检测逆变器三相工作是否正常。 逆变器输出与旁路的电压与相位比较。 寰现UPS出旁路供电切换萋《逆变供嚷的动馋。 实现UPS由逆变供电切换到旁路供电的动作。 寰瑰逆变嚣赣痘秘凌麓,势在切换乏蓠跟踪旁路毫压。 计算逆变器负载,判断过载程度并计时。 计算旁辩受载,鄹精过载程度并计时。 接收上位机发送的命令和数掘。 判断上位机命令,发送数据域确认字精上位机。旁路避裁予程序 RS485接收中断子程序 RS485麓送子程净 浙江人学硕士学位论文第四章软硬件实现及实验结果图4-1中给山了软件背景程序(主程序)的流程豳。在DSP得电后.DSP先进行一些 初始化.I.作。这些初始化包括:系统寄存器初始化,I/O口初始化,事件管理器初始化,模 数转换ADC功能块初始化,串行口初始化。各初始化的主要内容如下: 系统初始化:设置系统控制和状态寄存器。 I/O口初始化:设置复刚I/O【=】为特殊功能还是基本I/(2)口功能,并设置I/O口为输入I/O还是输山I/O口。121事件管理器初始化:设置计数器的计数方式及全比较控制和状态寄存器。ADC功能块初始化:设置ADC级联方式.转换通道及一些控制寄存器。 RS通讯初始化:设置串行通讯协议相关寄存器。l二{¥』#图4-11背景程序流程图52 激疆大学娥1.学位论文第蹭载软硬件.盛现艇实验结果初始化完成崩检测旁路电压利频率,如粜旁路正常。则开通旁路。然后通过RS485通 讯子程序等待上位机发送的启动信弩。DSP褥到上位机的启动信号后,就开始进入循环主 张序。锈环主狴序申,避谶调蹦相关子程黟完成的相爻功能如‘F;通过RS485避讯子程黟 接收上位机命令利向上位机传送命令t通过旁路检测子程序,检测旁路的电压和频率;在需 臻由旁路供哇主切按剿逆交供电或由逆变珙电甥挨到旁路供电豺,努嚣《谡用旁路鼹逆交予程序 和逆变跳旁路子棵序。在每个丁频周期,调州一次软启动子程序、数字锁相子程序和过载判 凝:}程彦,戳窦瑶逆交器寤淤瓣涎较韬动,遂变输出与势薅迤压瓣籀住鼯踩及过载豫护功能。 三艄输出电雁的 瓣时菹肉环和平均值外环的讨+蜱是在定时 tp断子稚序里完成 触,定时中断子鹦廖的流程幽如图4.12所定时tI|断予程序承。定砖中甑中,时序的安排如图3.18所示。盎送入定瓣中甑。,1:做好现场保护后,DSP开始避{亍AD转 换.在AD转换究成 后读取井德存数值。 然厩通过采样值,避酬4-12定时中断予程序流稔鄹行一次电压外环刺内邵艴}}算。这些嗣一簿都蹩在谤数器上撵计数(三角载波上舞除段)审完袋。这次瘫薹l:谤算熬 结果,存入全比较寄存器后,川米确定计数器下行计数(三角载波下降阶段)时,PWM输 逡踩静静熬转鞋刻。在裣泌捌诗数箍阁期中断(计数箍藏上行诗数开始转为下行计数)发生肼,DSP重新对ftlli电压进行一次AD采样,井进行电压内环计算。第二次内环计算的结果,翊来确定”F一开关髑期计数器上行计数时,PWM输出躲冲的翻转时刻。谗样,采样频率实 际上为开关频率的龋倍.从而减小了采样和计算延时。谯完成电压内外环计算匿,DSP还 黧判断逆畿输出的过零点?以获得逆变输出与旁路电压的相位差储号,另外在查询到捕获单 元中断发生(旁路‘l}骶过零僚号)后,读取搪获值,即旁路电压周甥。最羼,DSP检查辕S3 浙江人学坝l+学位沧义第凹章软硬件实现发实验结果入的相关状态是否JE常,如果不止常,通过110口,封锁PWM脉冲。三相旁路检测于程序的流程图如图4-1 3所示。旁路检测子程序主要是检测旁路的电压和频率是否在要求的范围内,外根据检测 结果在程序所』H的寄存器的相应位做上标记。在检测完旁路的电压和频率后。如果ⅡLfl,l uPs足在旁路供电状态,还要检测旁路IiT控硅(SCR)是否开通。如果可控硅开通,但检测到的旁路电压或频率不正 常,则要把旁路可控硅关断。另外如果UPS 娃往旁路供l{土状态,但旁路刈控硅(SCR) 因前面一些故障而被关断,而此时检测到的旁路电压和频率都止常,则要把旁路可控硅重新开通。在检测旁路电压帮I频率 时.为了防lf:在它们上F限定值附近在正 常与异常之间出现反复从而使旁路可控 硅反复导通与荧断,电压和频率的限定值应设定…定的回差。逆变状态检测于程序的流程I生|如图4-14(a)所示。逆变状态检测子程序主要 是检测逆变器的。】:作状态,包括:逆变输 图4.13旁路检测程序流程图¨电压是否出现过欠压:散热器和输山滤波电感是否过温;直流侧是否过欠压;I/0 1:2的输逆盘状忐拉酬r判胯l引∞“【1BSFIAGl旁船与逆盘比较予程JfIll{盘测r£MPl,2:INv v.vDC+一 12楹铡输入状告{/M01)1.2 i)K./llNH―OK./P■RG仰” 段十¨心roHI:I^l: I卦1:n。敲陶内I l,q 8 l#检测过蛾标击fm●,u I or)…1l昔“牲Gq B一>“I”.Iq|3)“J”ld维佳旁端,f盖世蕾台1.(/s■¨b o¨N.IOPE 5, l符雌修赍路奸关台}:l G0 B一)“l”.1q J d)。l”愀盏徽赫j群帮(b)旁路与逆变比较子程序幽4-14(a)逆变状态检测流程幽 浙江人学硕J:学位论文第四章软硬件实现及实验结果入状态(驱动芯片的短路保护信号。接插件连接信号,控制电源信号)是否正常;过载子程 序是否检测到过载信号;旁路维修开关是否合上。检测这些信号,主要是为了判断逆变器工作是否U!常。图4.14(b)所示的是旁路与逆变比较子程序的流程图,其主要功能是完成旁路与逆变 输山之问电压、频率和相位的比较。以便在旁路与逆变之间进行切换时,用来判断它们之间的“三同”(电压。频率,相位)情况。 旁路到逆变千程序的流程圈如图4-15所示。在需要从旁路切换到逆变 时,判断是还在逆变 器软启动阶段,如果在软启动阶段,则不进行切换。如果逆变 器已经启动完毕,检 查维修旁路开关是否断开,若没断开. ⅢU不能切换,以防II:逆变器和旁路长时 间同时供电,造成逆 变器损坏。检测完维修旁路开关后,判断逆变输出与旁路是否“三同”,如果不 “三同”。旁路开关关断,逆变输ilj接触器吸合。由于输出接触圈4―15旁路到逆变切换程序流程图器从得到吸合信号到接触器实际机械上吸合有一段延时,所以在不“三同,,下切换,会造成 UPS供电的短暂中断。如果逆变器输山与旁路“三同”,则逆变器输出接触器吸合,并经过一段延时或得到接触器实际吸合的触点信号后,再关断旁路可控硅。在这种情况下,逆变器和55 浙江大学硕,1.学位论文第凹章软硬件实现及实验结果旁路有短暂的重叠供电时间 |璺

我要回帖

更多关于 小程序生命周期 的文章

 

随机推荐