如何利用March算法进行SRAM分页存储管理算法模拟

基于March C-算法的SRAM测试设计与实现--《大连海事大学》2007年硕士论文
基于March C-算法的SRAM测试设计与实现
【摘要】:
本文给出一个基于March C-算法的面向字节的SRAM测试电路的设计。测试平台是基于东软集团防火墙项目的测试板,该板选用的是一个256K×16比特的随机存储器,采用March C-算法实现电路对其进行测试。
该测试电路是对板级SRAM的内建自测试(BIST)的设计,是为了确保板级SRAM的可靠性。因考虑到板级SRAM各种故障模型,从而选择使用March C-算法。本文在传统面向比特方式优化March C-算法基础上,给出了面向字节方式优化算法,该算法可对SRAM进行字节内组合故障和数据维持故障测试,对呆滞故障、跳变故障、开路故障、地址译码器故障和字节间组合故障能够达到99%以上的故障覆盖率。同时在只增加少量成本的情况下,使用FPGA构成存储器的BIST控制器,可以满足SRAM的可测性的要求。
该测试电路的设计方法为自顶向下,使用Verilog HDL语言来进行仿真。首先通过分析March C-算法,获取测试向量,将这些测试向量放到FPGA中的固定单元保存;其次由March C-算法得到写入这些向量的先后顺序,从这个顺序中抽象出一个算法流程图,在实际中需要使用Verilog HDL语言来对顺序仿真,验证其正确性。然后通过算法流程图演化出数据通路及状态转换图。数据通路是用于保存实际测试中需要用到的数据,如测试向量、读写SRAM的指令和控制字等。状态转换图中包括状态的信号输出及状态的跳转条件,可以使用FSM(有限状态机)来实现。最后再将数据通路与状态机连接成一个数字系统,该系统即可以实现SRAM的测试。
本文所设计的测试电路既可用来测试独立的SRAM模块也可作为内建自测试(BIST)电路测试嵌入式SRAM。
【学位授予单位】:大连海事大学【学位级别】:硕士【学位授予年份】:2007【分类号】:TN407;TP393.08
欢迎:、、)
支持CAJ、PDF文件格式
【引证文献】
中国期刊全文数据库
任文冠;徐洪信;邵思霈;张洪娟;;[J];航天器环境工程;2012年05期
中国硕士学位论文全文数据库
曹勇;[D];华中科技大学;2010年
【参考文献】
中国期刊全文数据库
须自明;苏彦鹏;于宗光;;[J];半导体技术;2007年03期
倪军;杨建宁;;[J];电子技术应用;2006年09期
汪小会;;[J];国外电子测量技术;2006年04期
石新峰,冯广森;[J];河南机电高等专科学校学报;2003年04期
Alexander E.B;[J];集成电路应用;2006年05期
朱贺飞;陆超;周晓方;闵昊;周电;;[J];计算机工程与应用;2007年01期
杨硕;张海滨;宋文涛;;[J];微计算机信息;2006年20期
冯国臣;沈绪榜;刘春燕;;[J];微电子学与计算机;2005年12期
顾明;杨军;张启晨;高谷刚;;[J];应用科学学报;2007年01期
【共引文献】
中国期刊全文数据库
徐太龙;孟坚;;[J];合肥师范学院学报;2011年03期
王徐冬;马月坤;苏亚光;;[J];安徽农业科学;2008年23期
刘华珠;贺前华;;[J];半导体技术;2008年10期
蒋晓华;梁宝玉;王祝金;颜军;;[J];半导体技术;2009年01期
段哲民;彭彬;秦勤;马裕;张晓鹏;;[J];半导体技术;2010年07期
孟祥鹤;吕楠;韩路;吴春瑜;王绩伟;梁洁;;[J];半导体技术;2011年03期
王庆春;曹喜信;路卫军;何晓燕;曹健;;[J];北京大学学报(自然科学版)网络版(预印本);2006年04期
余祖俊;卫研研;李真花;朱力强;;[J];北京交通大学学报;2009年03期
吴丹;李杰;;[J];兵工自动化;2006年05期
王庆春;曹喜信;路卫军;何晓燕;曹健;;[J];北京大学学报(自然科学版);2007年03期
中国重要会议论文全文数据库
张训文;杨敏;韩青;杨萱;;[A];第九届全国信息获取与处理学术会议论文集Ⅱ[C];2011年
杨德伟;王华;;[A];2005年海峡两岸三地无线科技学术会论文集[C];2005年
闭思能;魏刚;;[A];第十届中国科协年会论文集(一)[C];2008年
李恩特;李冰;;[A];第十届中国科协年会论文集(三)[C];2008年
朱剑平;李文耀;;[A];2007北京地区高校研究生学术交流会通信与信息技术会议论文集(下册)[C];2008年
吕卓;侯春萍;侯永宏;;[A];中国电子学会第十五届信息论学术年会暨第一届全国网络编码学术年会论文集(下册)[C];2008年
赵庆;;[A];中国电子学会第十五届信息论学术年会暨第一届全国网络编码学术年会论文集(下册)[C];2008年
熊祎灵;;[A];2009年研究生学术交流会通信与信息技术论文集[C];2009年
杨光友;程良明;苏旭武;张铮;;[A];2005年十二省区市机械工程学会学术年会论文集(湖北专集)[C];2005年
白英良;雷飞;徐萍萍;;[A];全国高等学校电子技术研究会论文集[C];2010年
中国博士学位论文全文数据库
雷波;[D];武汉理工大学;2010年
郑永;[D];合肥工业大学;2011年
孔阳;[D];中国科学技术大学;2011年
刘春阳;[D];天津大学;2012年
徐杰;[D];西南交通大学;2003年
刘丽娟;[D];华中科技大学;2004年
叶凌云;[D];浙江大学;2006年
张军;[D];东南大学;2006年
曹军胜;[D];吉林大学;2007年
雍爱霞;[D];合肥工业大学;2007年
中国硕士学位论文全文数据库
张淑芳;[D];安徽工程大学;2010年
凌刚;[D];安徽工程大学;2010年
沈国新;[D];山东科技大学;2010年
陈先义;[D];山东科技大学;2010年
王俊山;[D];郑州大学;2010年
琚新刚;[D];郑州大学;2010年
湛雷;[D];哈尔滨工程大学;2010年
刘振飞;[D];哈尔滨工程大学;2010年
匡银;[D];哈尔滨工程大学;2010年
王鑫;[D];哈尔滨工程大学;2010年
【同被引文献】
中国期刊全文数据库
焦慧芳;张小波;贾新章;杨雪莹;钟征宇;;[J];电路与系统学报;2008年03期
田勇;孙晓凌;申华;;[J];电子工程师;2008年12期
陆楠;;[J];电子设计技术;2008年04期
焦慧芳;张小波;贾新章;杨雪莹;钟征宇;;[J];固体电子学研究与进展;2006年04期
易青松;戴紫彬;;[J];国外电子元器件;2007年05期
严伟,龚幼民;[J];上海大学学报(自然科学版);2005年02期
张峰,王家礼,方葛丰;[J];现代电子技术;2005年10期
邢克飞;张传胜;王京;杨俊;季金明;;[J];应用基础与工程科学学报;2006年04期
中国博士学位论文全文数据库
邢克飞;[D];国防科学技术大学;2007年
余凯;[D];华中科技大学;2009年
谈恩民;[D];上海交通大学;2007年
中国硕士学位论文全文数据库
程沁;[D];西安电子科技大学;2008年
江双双;[D];国防科学技术大学;2009年
【二级参考文献】
中国期刊全文数据库
高平,成立,王振宇,祝俊,史宜巧;[J];半导体技术;2003年09期
俞龙江,彭喜源,彭宇;[J];电子学报;2003年08期
杨廷善;[J];测控技术;2000年09期
王文武,曹治国,张贵清,张天序;[J];微计算机信息;2004年11期
李侠;周晓方;张海清;章倩苓;;[J];小型微型计算机系统;2006年02期
【相似文献】
中国期刊全文数据库
CHARLESR.KIME
,KEWALK.SALUJA
,矫美;[J];计算机与数字工程;1996年02期
高媛媛;蔡乐才;;[J];成都大学学报(自然科学版);2007年02期
张弘,徐东明,李玉山;[J];系统工程与电子技术;2004年09期
陈明静,向东;[J];计算机工程与科学;2005年04期
翟明静;殷景华;宋明歆;郭喜俊;;[J];哈尔滨商业大学学报(自然科学版);2009年05期
汪昱,邝继顺;[J];计算机工程与科学;2005年04期
鞠家欣;姜岩峰;于韶光;;[J];电子测试;2010年02期
杨军,李杰,李锐,时龙兴;[J];电路与系统学报;2004年01期
刘莹莹;陈卫兵;;[J];电子质量;2007年04期
陈卫兵;[J];电子质量;2005年03期
中国重要会议论文全文数据库
王伟征;邝继顺;尤志强;刘鹏;;[A];第六届中国测试学术会议论文集[C];2010年
谈恩民;张勇;;[A];2004全国测控、计量与仪器仪表学术年会论文集(下册)[C];2004年
姜岩峰;鞠家欣;张晓波;杨兵;于韶光;;[A];第十九届测控、计量、仪器仪表学术年会(MCMI'2009)论文集[C];2009年
吴义成;梁华国;李松坤;黄正峰;易茂祥;;[A];第六届中国测试学术会议论文集[C];2010年
李鑫;梁华国;陈田;王伟;易茂祥;;[A];2011中国仪器仪表与测控技术大会论文集[C];2011年
王文峰;王酣;关锡佑;;[A];加入WTO和中国科技与可持续发展——挑战与机遇、责任和对策(上册)[C];2002年
欧阳一鸣;刘蓓;齐芸;;[A];第六届中国测试学术会议论文集[C];2010年
韩银和;李华伟;李晓维;;[A];第十届全国容错计算学术会议论文集[C];2003年
田力;金敏;;[A];第五届中国测试学术会议论文集[C];2008年
江燕辉;张金艺;林峰;王佳;;[A];第五届中国测试学术会议论文集[C];2008年
中国重要报纸全文数据库
仝静海;[N];河北日报;2009年
中科院计算技术研究所 张 伸;[N];计算机世界;2004年
《网络世界》评测实验室 荣钰;[N];网络世界;2003年
胡博理;[N];河北日报;2005年
李雪林?姜澎
李涛;[N];文汇报;2008年
俞佩忠 通讯员
徐立;[N];嘉兴日报;2010年
金姝;[N];吉林日报;2008年
何俊山;[N];中国电子报;2000年
金姝;[N];吉林日报;2007年
南通富士通微电子有限公司 曹清波;[N];中国电子报;2004年
中国博士学位论文全文数据库
周彬;[D];哈尔滨工业大学;2010年
谈恩民;[D];上海交通大学;2007年
张金林;[D];华中科技大学;2007年
邵晶波;[D];哈尔滨工程大学;2008年
曹贝;[D];哈尔滨工业大学;2010年
王义;[D];贵州大学;2009年
邓立宝;[D];哈尔滨工业大学;2012年
王伟;[D];合肥工业大学;2007年
张弘;[D];西安电子科技大学;2004年
王伟征;[D];湖南大学;2011年
中国硕士学位论文全文数据库
肖莹莹;[D];大连海事大学;2007年
王宇;[D];哈尔滨工程大学;2008年
刘洁;[D];西安电子科技大学;2010年
方祥圣;[D];合肥工业大学;2006年
詹琰;[D];桂林电子科技大学;2011年
刘静;[D];南京航空航天大学;2010年
杨静;[D];湖南大学;2010年
易林;[D];哈尔滨理工大学;2011年
张磊;[D];哈尔滨工程大学;2007年
吴毅;[D];电子科技大学;2012年
&快捷付款方式
&订购知网充值卡
400-819-9993据外媒报道,上周三在社交媒体上出现了一些针对苹果开发……
最近迷上了一款小学生玩的游戏&&王者农药,……
关于招聘这件大事,硅谷企业正利用AI给出求职者客观评价……
随着人工智能风潮的兴起,AI芯片应该具备什么样的功能就……
工业物联网正从概念和试点项目迅速演变为大规模的厂级部……
演讲人:杨正龙时间: 10:00:00
演讲人:胡志涛时间: 10:00:00
演讲人:杨熙时间: 10:00:00
预算:小于¥10,000预算:¥10,000-¥50,000
基于FPGA的SRAM自测试研究
[导读]引言
  SRAM有高速和不用刷新等优点,被广泛用于高性能的计算机系统。由于半导体工艺技术的提高以及存储系统多方面的需要,存储器件日益向高速、高集成方向发展,在使系统功能强大的同时,也增加了系统的复杂性
  SRAM有高速和不用刷新等优点,被广泛用于高性能的计算机系统。由于半导体工艺技术的提高以及存储系统多方面的需要,存储器件日益向高速、高集成方向发展,在使系统功能强大的同时,也增加了系统的复杂性,给电路的故障诊断带来了不小的困难[12]。由于存储器功能和结构的复杂性,设计者为了正确地处理数据和正常地运行用户的程序,必须保证SRAM单元的寻址、取指令以及计算正确,对程序或数据存储单元的正确操作是很重要的方面,因此保证存储器的正常、稳定工作是系统稳定工作的前提。本文主要是通过对常见的SRAM故障问题的分析,运用March C算法,以FPGA构建成的SRAM自检测试电路对SRAM系统进行故障检测与修复。
1 故障模型
  所谓故障模型,是指为了研究故障对电路或系统的影响,诊断(定位)故障的位置,对故障作一些分类并选择最典型故障的过程。SRAM系统可抽象为一组互联的功能模块,故障在各个模块均可发生。Van de Goor等人[3]将其简化为地址译码器、读写逻辑、存储器单元阵列3部分,并证明前两者与后者的故障在功能上是等价的,所以只需要检测存储单元阵列故障。常见的SRAM故障模型主要分为以下几个类型[4]:
① 固定故障(StuckAt Faults, SAF)。阵列中的一个或多个单元的一位或多位固定为0或固定为1。
② 开路故障(Open Faults, OF)。阵列中的一个或多个单元开路到0或开路到1。
③ 耦合故障(Coupling Faults, CF)。存储单元中某些位的跳变导致其他位的逻辑值发生非预期的变化,既可以发生在不同单元之间。也可以发生在同一单元的不同位之间。将发生在两个不同单元之间的耦合故障记为第一类耦合故障,将发生在同一单元不同位之间的耦合故障记为第二类耦合故障。
  第一类耦合故障又可分为翻转耦合故障、幂等耦合故障以及状态耦合故障等。翻转耦合故障是存储器Ci单元(称耦合单元)中的跳变引起被耦合单元Cj中的逻辑值发生翻转的故障。若用&&表示逻辑值(0&1)的跳变,用& &表示逻辑值(1&0)的跳变,而用& &表示逻辑值的翻转,则这类故障可描述为&;&或&;&;幂等耦合故障是指在Ci中的值跳变时将Cj中的值固定为0或1,可描述为&;0&、&;1&或&;0&、&;1&[5];而状态耦合故障则是在耦合单元处于一特定状态时,被耦合单元被迫处于0或1,即&0;0&、&0;1&或&1;0&、&1;1&状态。
  第二类耦合故障即同一单元不同位间的耦合也有类似的情况,但当写信号很强时,同一单元不同位间的耦合就可能被淹没,只呈现出写入的信息。
④ 跳变故障(Transition Faults,TF)。阵列中的一个或多个单元的一位或多位无法在预期的时间内完成数据从0到1或从1到0的跳变,记为&;0&或&;1&。这种故障看似可归为固定故障,实质则有所不同。它的状态并非任何时刻都不跳变,当有翻转耦合故障影响它时就会完成原本不能完成的跳变。
⑤ 由地址译码错误引起的单元阵列故障(Address Decoder Fault,AF)。它包括某地址不能访问任何单元、某单元不能被任何地址访问、某个地址可以访问多个单元、某个单元可被多个地址访问。
2 SRAM测试方法
  SRAM的测试方法主要有以下3种。
(1) 直接存取测试
  直接存取测试是产生一种测试结构来允许对SRAM阵列的直接访问。它通常利用自动测试设备进行测试,可以从封装引脚直接对嵌入式存储器进行访问,或者可以从封装引脚对测试状态逻辑以及对一些为存储器提供数据的流水线结构中的串行状态进行访问,能够轻易实现多种高质量测试算法。其缺点是:在ATE机上实现的算法越复杂,对ATE机存储器的容量要求越高;在ATE机上不易实现对嵌入式存储器的&全速&测试,测试时钟的工作频率越高,测试成本越高;由于芯片外围引脚的限制,对芯片内大容量SRAM进行直接测试往往不大现实。
(2) 利用嵌入微处理器来间接测试存储器
  在这种测试方法中,通过嵌入式微处理器对存储器进行读/写操作,测试向量是一系列微处理器的程序代码。这些代码可以放在程序存储器中,在嵌入式芯片接口处施加代码,测试存储器的过程就是微处理器执行测试程序的过程。其优点是不需要对硬件设计做任何修改,而且测试算法的修改与实现可以通过灵活修改微处理器代码予以完成。
(3) 存储器内建自测试(MBIST)
  存储器内建自测试技术(Memory BuildIn Self Test, MBIST)的工作原理是在存储器外围产生一整套控制电路,包括数据发生、地址发生、控制产生以及结果比较等电路,实现芯片内置存储器测试模式的自动产生以及测试结果的自动判别。芯片外部的控制可以让芯片自动进入内部存储器测试模式,MBIST不仅可以自动产生内部测试模式,而且也可以实现并行测试。由于需要增加额外的逻辑电路,所以MBIST技术的缺点在于增加了芯片面积,并有可能影响芯片的时序特性。对于不同容量的存储器,MBIST电路的规模基本相同。因此,随着存储器容量的增加,这种方法所增加的芯片面积所占的比例相对较小,而且这种测试技术还有很多其他技术优势。
  本文研究的是计算机内的SRAM测试方法,由于待测SRAM位于计算机系统内部,是该系统的关键部分,因此本文采用FPGA作为计算机系统与SRAM之间通信的桥梁,通过接收计算机发出的控制信号,自动产生一套SRAM自测试电路。
3 March C算法
  针对存储器中的各种故障模型开发了多种存储器测试算法,如March算法、Walking算法、Galloping算法等。其中March算法是较简单的测试算法之一,具有较高的故障覆盖率和较小的时间复杂度,所以March算法是最常用的存储器测试算法。该算法经过多次改进,出现了很多变种,如MATS、MATS+、March X、March C、March C等算法[6]。
  March C算法是由March元素构成的序列,其基本原理是利用有限状态机,反复对每一个地址进行读/写0或1操作,保证每两个字节之间的测试码出现00、01、10、11四种情况,至少各一次;并且为了检查高低地址读/写顺序故障,分别进行地址递增和地址递减两种操作。通过对存储器不断地读写,能够检测几乎所有的存储器故障。
  在诸多的March C算法中,综合考虑算法的故障覆盖率及测试成本等因素,本文选用能够有效检测大多数存储器简化故障的March C算法。March C算法的具体描述如下[7]:
  其中,&&表示地址的升序,&&表示地址的降序,& &表示两种顺序都可以;r0、w0、r1和w1分别表示读0、写0、读1和写1。M0~M5分别表示一个March单元,March C算法能够有效检测出 SAF、TF、CF、AF等故障。其中,SAF故障可由 M0、M1单元和 M1、M2单元检测出;TF故障中0&1故障可由M3、M4单元检测出,1&0故障可由M2、M3单元检测出;在CF故障中,1&0故障可由M2、M3单元和M4、M5单元检测出,0&1故障可由M1、M2单元和M3、M4单元检测出,置1故障可由M2、M3单元和M4、M5单元检测出,置0故障可由M1、M2单元和M2、M3单元检测出;AF故障可以在March单元的连续升序/降序中得到检测。
4 SRAM自测试电路的实现
  SRAM自测试电路是为了检测存储器的好坏和修复错误存储单元而对其进行自检的。文中自测试电路是由FPGA构成,通过响应计算机系统的控制信号,由其产生一套完整的自检测试电路。
4.1 硬件描述语言
  测试电路的产生可以通过硬件描述语言Verilog编程实现。用Verilog来进行电路设计,设计者可以进行各种级别的逻辑设计,以及数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件描述语言。
  采用硬件描述语言进行设计时,由于硬件描述语言的标准化,可以很容易地把完成的设计移植到不同厂家的不同芯片中去,并在不同规模应用时较容易地作修改。它的信号位数是很容易改变的,可以很容易地对它进行修改,来适应不同规模的应用。在仿真验证时,仿真测试矢量还可以用同一种描述语言来完成,因为采用硬件描述语言综合器生成的数字逻辑是一种标准的电子设计互换格式(EDIF)文件,独立于所采用的实现工艺。有关工艺参数的描述可以通过硬件描述语言提供的属性表达,然后利用不同厂家的布局布线工具,在不同工艺的芯片上实现。
4.2 系统硬件
  计算机系统包含有多个外围设备,在本系统中计算机与SRAM之间的通信通过FPGA来传递,计算机对FPGA的操作也就是对SRAM的操作。系统硬件电路结构框图如图1所示。
图1 系统硬件电路结构框图
  图中的start信号线是测试启动信号,上升沿有效;end信号线是测试结束信号线,上升沿有效;error是SRAM故障信号,高电平有效;addr为地址总线;data为数据总线;r/w为读/写信号线。系统时序图如图2所示,其中阴影部分为未知区域。
图2 系统时序图
  在系统正常工作时,计算机系统首先将地址信号、数据信号和读写控制信号传至FPGA,通过FPGA内部电路进行处理后将信号传递到SRAM端;当start信号线有效时,FPGA启动自检电路对SRAM进行故障检测,并进行故障自校正;测试结束后输出end有效信号,对于无法修复的故障则使error信号线有效。
4.3自检电路硬件设计
  由FPGA构成的自检电路主要包括检测控制电路、数据分析器和地址自校正器等部分[8],是对SRAM进行检测的关键。SRAM自检电路系统结构框图如图3所示[910]。
图3 SRAM自检电路系统结构框图
  测试电路工作机制为在start信号有效时,自检电路进入自检状态[11],自检模块的控制器部分首先通过使能信号cs1启动地址校正器内的自检电路,屏蔽MCU_addr信号使test_addr、test_end和clk2工作;同时通过cs2启动数据分析器,控制器具有产生地址和测试向量的电路,测试地址通过地址校正器输出,测试向量通过SRAM_data数据线输出,通过控制线r/w进行SRAM的读写,并通过数据分析器将读到的数据进行分析;若有故障,则通过addr_error信号线通知地址校正器进行校正。在控制器按照March C算法进行测试完毕后,通过test_end信号线通知地址校正器,并使使能信号cs1和cs2无效。地址校正器接收到结束信号后,将故障单元映射到备用的SRAM存储单元,若出现故障单元超出备用部分个数或其他无法完成映射的情况,则地址校正器输出error信号。自检模块故障检测完毕后进入正常工作状态。
  本文简要地介绍了在SRAM中常见的若干故障模型,以及常用的测试方法, 最后提出了一种运用由FPGA构成的存储器自测试电路对SRAM进行检测的方法。该检测模块不仅具有对存储器故障检测的功能,而且还能将产生故障的单元进行自校正,保证系统的稳定运行。当系统有无法修复的故障时,该模块会发出故障信号。通过SRAM自检测电路的设计既提高了系统的稳定性,又大大地降低了系统的故障率。该系统测试电路由硬件描述语言编程而来,所以测试电路具有很强的灵活性,便于以后的进一步设计。
基于ARM+FPGA的高速同步数据采集方案,主要包括以下几个部分:ARM控制器、存储电路、FPGA逻辑控制电路、A/D转换电路、FIFO缓存、电源电路、接口电路等。......关键字:
关于时序工具的一些FAE解答:问:你们的工具是否只提供所有输入输出管脚完全一致的时序约束?如tsu,th,tco,tpd的约束?如果不同管脚可以有不 同约束值,如何设置?FAE:我们的工具提供的是时序分析功能,尚未提供时......关键字:
 在一个领域中,如果唯一不变的是变化,那么不需要对电子技术和设计方法的发展变化做多少回顾,就能见证到变化是如何使设计工程师能够创建出下一代创新产品。微处理器得到大规模应用后,价廉物美的新技术为基于软件......关键字:
继7亿美金收购展讯,9亿美金收购锐迪科,25亿美金收购新华三,38亿美金控股西数,再砸5亿人民币&娶&西数,紫光疯狂的步伐还远未停止。近日,紫光投资3000亿元打造第三大芯片制造商,媲美Intel。不得不说......关键字:
不管你是一名逻辑设计师、硬件工程师或系统工程师,甚或拥有所有这些头衔,只要你在任何一种高速和多协议的复杂系统中使用了FPGA,你就很可能需要努力解决好器件配置、电源管理、IP集成、信号完整性和其他的一些关键......关键字:
两个调试中遇到的小问题,引以为戒。1.
画板子的时候由于没注意结构上的固定边框,不小心把一个旁路电容放在边界上。在外部铁壳将液晶屏固定到电路板上后,出现的症状很有意思。两个不同的屋子(A和B),同样的电......关键字:
在一个领域中,如果唯一不变的是变化,那么不需要对电子技术和设计方法的发展变化做多少回顾,就能见证到变化是如何使设计工程师能够创建出下一代创新产品。微处理器得到大规模应用后,价廉物美的新技术为基于软件的......关键字:
随着摩尔定律越来越接近瓶颈,制造ASIC芯片的成本越来越高。因此,设计者会希望ASIC能实现一定的可配置性,同时又不影响性能。在希望能做成可配置的模块中,负责与其他芯片或者总线通信的接口单元又首当其冲。......关键字:
我 要 评 论
热门关键词mem 一种用于测试SRAM阵列的MARCH-C算法;使用Verilog语言描述,包括 模块、MRA VHDL-FPGA-
238万源代码下载-
&文件名称: mem
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 70 KB
&&上传时间:
&&下载次数: 4
&&提 供 者:
&详细说明:一种用于测试SRAM阵列的MARCH-C算法;使用Verilog语言描述,包括SRAM模块、MRACH-C算法还有testbench-An algorithm for MARCH-C test SRAM array
using Verilog language description, including SRAM module, MRACH-C algorithms as well as testbench
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&mem\march-c.v&&...\march-c.v.bak&&...\mem.cr.mti&&...\mem.mpf&&...\mem.v&&...\mem.v.bak&&...\mem_tb.v&&...\mem_tb.v.bak&&...\vsim.wlf&&...\work\march\_primary.dat&&...\....\.....\_primary.dbs&&...\....\.....\_primary.vhd&&...\....\.em\verilog.asm&&...\....\...\verilog.rw&&...\....\...\_primary.dat&&...\....\...\_primary.dbs&&...\....\...\_primary.vhd&&...\....\..._tb\verilog.asm&&...\....\......\verilog.rw&&...\....\......\_primary.dat&&...\....\......\_primary.dbs&&...\....\......\_primary.vhd&&...\....\rde\_primary.dat&&...\....\...\_primary.dbs&&...\....\...\_primary.vhd&&...\....\tdg\_primary.dat&&...\....\...\_primary.dbs&&...\....\...\_primary.vhd&&...\....\_info&&...\....\.temp\vlog0r6gak&&...\....\.....\vlog0zrgxr&&...\....\.....\vlog16tmb6&&...\....\.....\vlog1fcv8h&&...\....\.....\vlog1rxtay&&...\....\.....\vlog1w7y9w&&...\....\.....\vlog1z1vrk&&...\....\.....\vlog3adkw7&&...\....\.....\vlog3ig3dc&&...\....\.....\vlog4454ij&&...\....\.....\vlog47h4h4&&...\....\.....\vlog527wcv&&...\....\.....\vlog5ts8tk&&...\....\.....\vlog60ma9w&&...\....\.....\vlog629drj&&...\....\.....\vlog7aiyt5&&...\....\.....\vlog7hdkrs&&...\....\.....\vlog84en8c&&...\....\.....\vlog8nyxeh&&...\....\.....\vlog8yzxcv&&...\....\.....\vlog9r8cer&&...\....\.....\vloga10zqy&&...\....\.....\vloga4sb7i&&...\....\.....\vloga8iza3&&...\....\.....\vlogbzxia8&&...\....\.....\vlogcs13kw&&...\....\.....\vlogdkbtc1&&...\....\.....\vlogea6ntg&&...\....\.....\vlogefibs1&&...\....\.....\vlogg8t60c&&...\....\.....\vloggbxxsw&&...\....\.....\vlogh56v1q&&...\....\.....\vloghw5v4q&&...\....\.....\vlogi52b0d&&...\....\.....\vlogjqm8rw&&...\....\.....\vlogm9kds7&&...\....\.....\vlogms1d93&&...\....\.....\vlogmtnyit&&...\....\.....\vlogq57ajc&&...\....\.....\vlogrbknaf&&...\....\.....\vlogrgj5v4&&...\....\.....\vlogt63932&&...\....\.....\vlogy9qjyi&&...\....\.....\vlogz8ng4x&&...\....\.....\vlogznkch6&&...\....\_vmake&&...\....\march&&...\....\mem&&...\....\mem_tb&&...\....\rde&&...\....\tdg&&...\....\_temp&&...\work&&mem
&近期下载过的用户:
&输入关键字,在本站238万海量源码库中尽情搜索:

我要回帖

更多关于 大数据存储算法 的文章

 

随机推荐