什么是组合逻辑电路的竞争竞争与冒险现象象?如何消除它

工具类服务
编辑部专用服务
作者专用服务
组合逻辑电路中的竞争冒险现象
竞争冒险现象是组合电路中的一个复杂的实际问题.发现和排除这一现象重在动态测试.掌握分析方法和测试要点是解决实际问题的关键所在.
作者单位:
南京广播电视大学,江苏,南京,210029
年,卷(期):
机标分类号:
在线出版日期:
本文读者也读过
相关检索词
万方数据知识服务平台--国家科技支撑计划资助项目(编号:2006BAH03B01)(C)北京万方数据股份有限公司
万方数据电子出版社1843人阅读
模拟电路(3)
原文之中对于竞争冒险定义似乎有误。竞争冒险其实是指逻辑值前后不发生改变,而中间瞬间出现毛刺的现象。比如A|(~A)肯定为1,但是因为A与~A可能不同时跳变,会引起竞争冒险出现毛刺;A&(~A)肯定为0,但是因为A与~A可能不同时跳变,会引起竞争冒险,产生毛刺。
所以,检查竞争冒险的主要办法,就是看是否可能出现A|(~A与A&(~A)这种逻辑。
一、竞争—冒险现象及其成因
   门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争。我们把由于竞争而在电路输出端可能产生尖峰脉冲的现象叫做竞争-冒险现象。
看图3.4.1(a)的例子,当输入信号A从1跳变为0时,如果B从0跳变为1,而且B首先上升,就会出现尖峰脉冲,如图3.4.2 (b)所示。
二、冒险现象的判别&
  1. 代数法&
  首先,找出具有竞争能力的变量,然后逐次改变其它变量,判断是否存在冒险,是何种冒险。
偏“1”冒险:
偏“0”冒险 :
2. 卡诺图法&
  卡诺图3.4.2(a)中两或项相临近,当B=C=1时,有相反的逻辑变量&;卡诺图3.4.2(b)中两与项相临近,当B=C=0时,有相反的逻辑变量&。
三、消除竞争—冒险现象的方法
   1. 接入滤波电容
见图3.4.3,为接入滤波电容消除毛刺的影响。毛刺很窄,其宽度可以和门的传输时间相比拟,因此常在输出端并联滤波电容C。但C的引入会使输出波形边沿变斜,故参数要选择合适,一般由实验确定。
2. 引入选通脉冲
   毛刺仅发生在输入信号变化的瞬间,因此在这段时间内先将门封住,待电路进入稳态后, 再加选通脉冲选取输出结果。该方法简单易行,但选通信号的作用时间和极性等一定要合适。例如,见图3.4.4,在组合电路中的输出门的一个输入端,加入一个选通信号, 即可有效地消除任何冒险现象的影响。
3.修改逻辑设计
   如图3.4.5(a)所示逻辑函数&,在B=C=1时,F=&将产生偏“1”冒险。
增加多余项BC,则当B=C=1 时,F恒为1, 所以消除了冒险。即卡诺图化简时多圈了一个卡诺圈, 如图3.4.5(b) 所示。相切处增加了一个BC圈,消除了相切部分的影响。
在负载电路对竞争-冒险所产生的尖峰脉冲不敏感时,如负载为发光器件,竞争-冒险所产生的尖峰脉冲对电路的工作没有影响,可不必考虑这一问题。
例 3.4.1 判断图 4 - 72 所示卡诺图的冒险情况。
&&相关文章推荐
参考知识库
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:67401次
积分:1080
积分:1080
排名:千里之外
原创:32篇
转载:24篇
评论:22条
(1)(1)(4)(6)(4)(14)(1)(1)(11)(3)(8)(2)第2页/共3页
龙源期刊网 .cn
代数法和卡诺图法都比较简单,但不适用于多个变量输入的情况,因此可以采用计算机软件仿真法来判断,例如Multisim、MAX+plusll等软件都能有效地检测出电路中存在的竞争冒险现象。而由于电路本身存在的误差等问题,还需要进一步通过实验的手段来判断是否存在竞争冒险,该方法虽然繁琐,但可靠性高,是电路设计的必经阶段。
2 消除竞争冒险的方法
2.1 增加冗余项法
根据逻辑代数的冗余律可知:若将表达式增加冗余项,等效为,其表达式的逻辑结果不变。而通过分析可知,前者当A=B=1时,,构成了竞争冒险产生的条件,而后者当且仅当A=B=1时,才会出现C与C同时出现的情况,而此时冗余项起了作用,+1,不会出现只有互补项相加的结果。该方法比较简单,主要用于电路的理论设计阶段,用代数法或者卡诺图法判断出竞争冒险以后,直接对逻辑表达式进行修改,进而修改电路,但局限性比较大,不适合输入变量较多及较复杂的电路。
2.2 脉冲选通法
脉冲选通法包括两个方面:一是引入封锁脉冲,即引入一个负脉冲,如图1,在输入信号转换前到达,转换后消失。
二是引入选通脉冲,即只有当电路达到了新的稳态后,选通脉冲才为正脉冲,使电路输出有效。由于有效脉冲出现在电路达到稳态以后,所以不会出现尖峰脉冲。选通脉冲刚好与封锁脉冲相反,表现为丄凸波形,只需将图1中P1下凹脉冲改为上凸脉冲即可实现。
但是值得注意的是,无论是引入封锁脉冲还是选通脉冲,脉冲选通法最后的输出信号将变为脉冲信号,该方法不需要增加电路元件就可以从根本上消除尖峰脉冲,但要求脉冲与输入信号同步,且对取样脉冲的宽度和作用时间有较高的要求。
2.3 加滤波电容法
组合逻辑电路由竞争冒险产生的尖峰脉冲通常高频分量很丰富,因此,可以在输出端添加一个滤波电容,构成低通滤波器,从而起到通低频阻高频的作用。该方法简单易行,电容对窄脉冲起到了平波作用,使输出端不会发生逻辑错误,但同时也时输出波形上升沿或下降沿变得缓慢,仅适用于对输出波形上下沿要求不高的情形。
2.4 采用可靠性编码
在数字电路设计中,设计者常常采用格雷码计数器来代替普通的二进制计数器,因为格雷码加1时,只有一个输出位发生跳变,这样就消除了竞争冒险发生的条件。
3 实际应用中竞争冒险的敏感度问题
第2页/共3页
寻找更多 ""什么是竞争和冒险,如何消除?
当一个门的输入有两个或两个以上的变量发生改变时,由于这些变量是经过不同组合逻辑路径产生的,使得它们状态改变的时刻有先有后,这种时差引起的现象称为竞争(Race)。竞争的结果若导致冒险或险象(Hazard)发生(例如毛刺(glitch)),并造成错误的后果,那么就称这种竞争为临界竞争。若竞争的结果没有导致冒险发生,或虽有冒险发生,但不影响系统的工作,那么就称这种竞争为非临界竞争。
  组合逻辑电路的险象仅在信号状态改变的时刻出现毛刺(glitch),这种冒险是过渡性的,它不会使稳态值偏离正常值,但在时序电路中,冒险是本质的,可导致电路的输出值永远偏离正常值或者发生振荡。
  组合逻辑电路的冒险是过渡性冒险,从冒险的波形上,可分为静态冒险和动态冒险。
  输入信号变化前后,输出的稳态值是一样的,但在输入信号变化时,输出信号产生了毛刺,这种冒险是静态冒险。若输出的稳态值为0,出现了正的尖脉冲毛刺,称为静态0险象。若输出稳态值为1,出现了负的尖脉冲毛刺,则称为静态1冒险。
  输入信号变化前后,输出的稳态值不同,并在边沿处出现了毛刺,称为动态险象(冒险)。
  从引起冒险的具体原因上,冒险可以分为函数冒险和逻辑冒险。函数冒险是逻辑函数本身固有的,当多个输入变量发生变化时,常常会发生逻辑冒险。避免函数冒险的最简单的方法是同一时刻只允许单个输入变量发生变化,或者采用取样的办法。
单个输入变量改变时,不会发生函数冒险,但电路设计不合适时,仍会出现逻辑冒险。通过精心设计,修改电路的结构,可以消除逻辑冒险。
1.通过改变设计,破坏毛刺产生的条件,减少毛刺发生。例如,数字电路设计中,常常采用Gray Code计数器取代普通计数器,因为Gray
Code 计数器的 输出每次只有一位跳变,消除了竞争冒险发生的条件,避免了毛刺的产生。
2.毛刺并不是对所有的输入都有危害,例如:D触发器的D输入端,只要毛刺不出现在时钟的上升沿且满足数据的建立和保持时间,就不会对系统造成危害,因此可以说D触发器的D输入端对毛刺不敏感。因此,在系统中尽可能采用同步电路,因为同步电路信号的变化都发生在时钟沿,只要毛刺不出现在时钟的沿口并且不满足数据的建立和保持时间,就不会对系统造成危害。(由于毛刺一般都很短,多为几纳秒,基本上都不可能满足数据的建立和保持时间)
以上方法可以大大减少毛刺,但它并不能完全消除毛刺,有时,我们必须手工修改电路来去除毛刺。我们通常使用"采样"的方法。
一般说来,冒险出现在信号发生电平转换的时刻,也就是说在输出信号的建立时间内会发生冒险,而在输出信号的保持时间内是不会有毛刺信号出现的。如果在输出信号的保持时间内对其进行"采样",就可以消除毛刺信号的影响。
有两种基本的采样方法:一种方法是在输出信号的保持时间内,用一定宽度的高电平脉冲与输出信号做逻辑"与"运算,由此获取输出信号的电平值。图6.23说明了这种方法,采样脉冲信号从输入引脚"SAMPLE"引入。从图6.24的仿真波形上可以看出,毛刺信号出现在"TEST"引脚上,而"OUT"引脚上的毛刺已被消除了.
图6.23 消除毛刺信号的方法之一
图6.24 图6.23所示电路的仿真波形
上述方法的一个缺点是必须人为的保证sample信号必须在合适的时间中产生,另一种更常见的方法是利用D触发器的D输入端对毛刺信号不敏感的特点,在输出信号的保持时间内,用触发器读取组合逻辑的输出信号,这种方法类似于将异步电路转化为同步电路。
图6.25给出了这种方法的示范电路,图6.26是仿真波形。
图6.25 消除毛刺信号方法之二
图6.26 图6.25所示电路的仿真波形
利用冗余项法
  利用冗余项消除毛刺有2种方法:代数法和卡诺图法,两者都是通过增加冗余项来消除险象,只是前者针对于函数表达式而后者针对于真值表。以卡诺图为例,若两个卡诺圆相切,其对应的电路就可能产生险象。因此,修改卡诺图,在卡诺图的两圆相切处增加一个圆,以增加多余项来消除逻辑冒险。但该法对于计数器型产生的毛刺是无法消除的。
在仿真时,我们也可能会发现在FPGA器件对外输出引脚上有输出毛刺,但由于毛刺很短,加上PCB本身的寄生参数,大多数情况下,毛刺通过PCB走线,基本可以自然被虑除,不用再外加阻容滤波。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。组合逻辑电路中的竞争―冒险现象_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
组合逻辑电路中的竞争―冒险现象
上传于|0|0|文档简介
&&组合逻辑电路中的竞争―冒险现象
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 什么是竞争与冒险现象 的文章

 

随机推荐