STM32F030F4P6没有外部32K晶振的输入和输出输入端,这内部RTC走的准么

& 请问 STM32芯片外部时钟能用有源晶振吗?谢谢
管理员:?????人数:6661小组积分:72169简介:欢迎进入STM32小组,学习讨论相关技术、交换资料、交流学习经验和设计心得。QQ群:小组公告福利:免费申请STM32F0308-DISCO开发套件(Discovery kit with STM32F030R8 MCU) 活动马上开始,只需2分钟即可申请。申请地址:??|????|??
需要确认注册邮箱后才能下载,
楼主??[其他]?
请问 STM32芯片外部时钟能用有源晶振吗?谢谢
6年会员勋章目前未领取。领取条件:?凡是注册时间六年以上的活跃用户即可领取该勋章。
积分:167分
总积分:334分
发表于 15:52
如题,谢谢大家。我现在做的一个板子上面用到AD652芯片,也需要外部时钟输入。现在对时钟感到很困惑,希望大家能给点意见。我的想法是:1.stm32用石英晶振,AD652用有源晶振,但是1-2M的有源晶振很难买。2.只用有源晶振,通过分频器分别给stm32、AD652提供时钟。2.只用石英时钟,stm32的通用时钟输出矩形脉冲为AD652提供时钟。不知道上面那种方法好,或者还有其他更好的方法。谢谢大家
sigmao0 编辑于 15:13
1楼??[其他]?
Re:请问 STM32芯片外部时钟能用有源晶振吗?谢谢
6年会员勋章目前未领取。领取条件:?凡是注册时间六年以上的活跃用户即可领取该勋章。
积分:13165分
总积分:24663分
发表于 17:59
STM32芯片外部时钟可以用有源晶振,请看下面这个文档中第57页的图9。
2楼??[其他]?
Re:请问 STM32芯片外部时钟能用有源晶振吗?谢谢
6年会员勋章目前未领取。领取条件:?凡是注册时间六年以上的活跃用户即可领取该勋章。
积分:685分
总积分:1373分
发表于 15:12
--- 现有 3个主题,共 1页,目前第 1页 ---??
点击,立即回复。
EDN China官方微信
扫一扫关注,获取电子新知,设计灵感
IC厂商小组
在线研讨会
赞助商链接
2016 EDN China -- Use of this website is subject to its terms of use.
京ICP备号-4 |
京公网安备37 |
新版社区已上线,旧版论坛、博客将停用
1、为防数据丢失,旧版论坛、博客不再接受发帖;
2、老用户只需重设密码,即可直接登录新平台;
3、新版博客将于8月底完美归来,敬请期待;
4、全新论坛、问答,体验升级、手机阅读更方便。查看: 892|回复: 6
stm32f030f4p6汇编输出正弦波
以前没搞过,数学小学水平看不懂公式真吃亏,只能查表了
TIM14通过PA4口输出SPWM,然后用1K电阻和104电容滤波成正弦波
表有点浪费空间,这个M0指令不支持8位和16位存取,反正空间很大用不完懒得搞了直接用32位的了。
输出差不多50HZ, 不太会算。。 这个片子2元多,便宜玩的起,储存空间很大用不完,一次买了50片
(5.69 KB, 下载次数: 30)
17:18 上传
点击文件名下载附件
截图__16-40-03.png (18.37 KB, 下载次数: 0)
17:16 上传
& & & & .thumb& && && && && &&&
& && && &.syntax unified
.section .data& & & &
& && &&&& & & & .equ STACKINIT,& && && & 0x
& & & & & & & & .equ zhengxianbiaozhizhen, 0x
zhengxianbiao: & & & & & & & & .int 0x96,0x97,0x98,0x99,0x9a,0x9b,0x9c,0x9c,0x9d,0x9e,0x9f,0xa0,0xa1,0xa2,0xa3,0xa4,0xa5,0xa6,0xa7,0xa7,0xa8,0xa9,0xaa,0xab,0xac,0xad,0xae,0xaf,0xb0,0xb1,0xb1,0xb2,0xb3,0xb4,0xb5,0xb6,0xb7,0xb8,0xb9,0xba,0xba,0xbb,0xbc,0xbd,0xbe,0xbf,0xc0,0xc1,0xc2,0xc2,0xc3,0xc4,0xc5,0xc6,0xc7,0xc8,0xc9,0xc9,0xca,0xcb,0xcc,0xcd,0xce,0xcf,0xcf,0xd0,0xd1,0xd2,0xd3,0xd4,0xd5,0xd5,0xd6,0xd7,0xd8,0xd9,0xda,0xda,0xdb,0xdc,0xdd,0xde,0xde,0xdf,0xe0,0xe1,0xe2,0xe2,0xe3,0xe4,0xe5,0xe6,0xe6,0xe7,0xe8,0xe9,0xe9,0xea,0xeb,0xec,0xec,0xed,0xee,0xef,0xef,0xf0,0xf1,0xf2,0xf2,0xf3,0xf4,0xf5,0xf5,0xf6,0xf7,0xf7,0xf8,0xf9,0xf9,0xfa,0xfb,0xfb,0xfc,0xfd,0xfe,0xfe,0xff,0xff,0x100,0x101,0x101,0x102,0x103,0x103,0x104,0x105,0x105,0x106,0x106,0x107,0x108,0x108,0x109,0x109,0x10a,0x10b,0x10b,0x10c,0x10c,0x10d,0x10d,0x10e,0x10f,0x10f,0x110,0x110,0x111,0x111,0x112,0x112,0x113,0x113,0x114,0x114,0x115,0x115,0x116,0x116,0x117,0x117,0x118,0x118,0x119,0x119,0x119,0x11a,0x11a,0x11b,0x11b,0x11c,0x11c,0x11c,0x11d,0x11d,0x11e,0x11e,0x11e,0x11f,0x11f,0x120,0x120,0x120,0x121,0x121,0x121,0x122,0x122,0x122,0x123,0x123,0x123,0x124,0x124,0x124,0x124,0x125,0x125,0x125,0x126,0x126,0x126,0x126,0x127,0x127,0x127,0x127,0x128,0x128,0x128,0x128,0x128,0x129,0x129,0x129,0x129,0x129,0x12a,0x12a,0x12a,0x12a,0x12a,0x12a,0x12a,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12c,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12b,0x12a,0x12a,0x12a,0x12a,0x12a,0x12a,0x12a,0x129,0x129,0x129,0x129,0x129,0x128,0x128,0x128,0x128,0x128,0x127,0x127,0x127,0x127,0x126,0x126,0x126,0x126,0x125,0x125,0x125,0x125,0x124,0x124,0x124,0x123,0x123,0x123,0x123,0x122,0x122,0x122,0x121,0x121,0x120,0x120,0x120,0x11f,0x11f,0x11f,0x11e,0x11e,0x11d,0x11d,0x11d,0x11c,0x11c,0x11b,0x11b,0x11b,0x11a,0x11a,0x119,0x119,0x118,0x118,0x117,0x117,0x116,0x116,0x116,0x115,0x115,0x114,0x114,0x113,0x113,0x112,0x112,0x111,0x110,0x110,0x10f,0x10f,0x10e,0x10e,0x10d,0x10d,0x10c,0x10b,0x10b,0x10a,0x10a,0x109,0x109,0x108,0x107,0x107,0x106,0x106,0x105,0x104,0x104,0x103,0x102,0x102,0x101,0x100,0x100,0xff,0xff,0xfe,0xfd,0xfd,0xfc,0xfb,0xfa,0xfa,0xf9,0xf8,0xf8,0xf7,0xf6,0xf6,0xf5,0xf4,0xf3,0xf3,0xf2,0xf1,0xf1,0xf0,0xef,0xee,0xee,0xed,0xec,0xeb,0xeb,0xea,0xe9,0xe8,0xe7,0xe7,0xe6,0xe5,0xe4,0xe4,0xe3,0xe2,0xe1,0xe0,0xe0,0xdf,0xde,0xdd,0xdc,0xdc,0xdb,0xda,0xd9,0xd8,0xd7,0xd7,0xd6,0xd5,0xd4,0xd3,0xd2,0xd2,0xd1,0xd0,0xcf,0xce,0xcd,0xcc,0xcc,0xcb,0xca,0xc9,0xc8,0xc7,0xc6,0xc6,0xc5,0xc4,0xc3,0xc2,0xc1,0xc0,0xbf,0xbe,0xbe,0xbd,0xbc,0xbb,0xba,0xb9,0xb8,0xb7,0xb6,0xb6,0xb5,0xb4,0xb3,0xb2,0xb1,0xb0,0xaf,0xae,0xad,0xac,0xac,0xab,0xaa,0xa9,0xa8,0xa7,0xa6,0xa5,0xa4,0xa3,0xa2,0xa2,0xa1,0xa0,0x9f,0x9e,0x9d,0x9c,0x9b,0x9a,0x99,0x98,0x97,0x96,0x96,0x95,0x94,0x93,0x92,0x91,0x90,0x8f,0x8e,0x8d,0x8c,0x8b,0x8a,0x8a,0x89,0x88,0x87,0x86,0x85,0x84,0x83,0x82,0x81,0x80,0x80,0x7f,0x7e,0x7d,0x7c,0x7b,0x7a,0x79,0x78,0x77,0x76,0x76,0x75,0x74,0x73,0x72,0x71,0x70,0x6f,0x6e,0x6e,0x6d,0x6c,0x6b,0x6a,0x69,0x68,0x67,0x66,0x66,0x65,0x64,0x63,0x62,0x61,0x60,0x60,0x5f,0x5e,0x5d,0x5c,0x5b,0x5a,0x5a,0x59,0x58,0x57,0x56,0x55,0x55,0x54,0x53,0x52,0x51,0x50,0x50,0x4f,0x4e,0x4d,0x4c,0x4c,0x4b,0x4a,0x49,0x48,0x48,0x47,0x46,0x45,0x45,0x44,0x43,0x42,0x41,0x41,0x40,0x3f,0x3e,0x3e,0x3d,0x3c,0x3b,0x3b,0x3a,0x39,0x39,0x38,0x37,0x36,0x36,0x35,0x34,0x34,0x33,0x32,0x32,0x31,0x30,0x2f,0x2f,0x2e,0x2d,0x2d,0x2c,0x2c,0x2b,0x2a,0x2a,0x29,0x28,0x28,0x27,0x26,0x26,0x25,0x25,0x24,0x23,0x23,0x22,0x22,0x21,0x21,0x20,0x1f,0x1f,0x1e,0x1e,0x1d,0x1d,0x1c,0x1c,0x1b,0x1a,0x1a,0x19,0x19,0x18,0x18,0x17,0x17,0x16,0x16,0x16,0x15,0x15,0x14,0x14,0x13,0x13,0x12,0x12,0x11,0x11,0x11,0x10,0x10,0xf,0xf,0xf,0xe,0xe,0xd,0xd,0xd,0xc,0xc,0xc,0xb,0xb,0xa,0xa,0xa,0x9,0x9,0x9,0x9,0x8,0x8,0x8,0x7,0x7,0x7,0x7,0x6,0x6,0x6,0x6,0x5,0x5,0x5,0x5,0x4,0x4,0x4,0x4,0x4,0x3,0x3,0x3,0x3,0x3,0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x3,0x3,0x3,0x3,0x3,0x4,0x4,0x4,0x4,0x4,0x5,0x5,0x5,0x5,0x6,0x6,0x6,0x6,0x7,0x7,0x7,0x8,0x8,0x8,0x8,0x9,0x9,0x9,0xa,0xa,0xa,0xb,0xb,0xb,0xc,0xc,0xc,0xd,0xd,0xe,0xe,0xe,0xf,0xf,0x10,0x10,0x10,0x11,0x11,0x12,0x12,0x13,0x13,0x13,0x14,0x14,0x15,0x15,0x16,0x16,0x17,0x17,0x18,0x18,0x19,0x19,0x1a,0x1a,0x1b,0x1b,0x1c,0x1c,0x1d,0x1d,0x1e,0x1f,0x1f,0x20,0x20,0x21,0x21,0x22,0x23,0x23,0x24,0x24,0x25,0x26,0x26,0x27,0x27,0x28,0x29,0x29,0x2a,0x2b,0x2b,0x2c,0x2d,0x2d,0x2e,0x2e,0x2f,0x30,0x31,0x31,0x32,0x33,0x33,0x34,0x35,0x35,0x36,0x37,0x37,0x38,0x39,0x3a,0x3a,0x3b,0x3c,0x3d,0x3d,0x3e,0x3f,0x40,0x40,0x41,0x42,0x43,0x43,0x44,0x45,0x46,0x46,0x47,0x48,0x49,0x4a,0x4a,0x4b,0x4c,0x4d,0x4e,0x4e,0x4f,0x50,0x51,0x52,0x52,0x53,0x54,0x55,0x56,0x57,0x57,0x58,0x59,0x5a,0x5b,0x5c,0x5d,0x5d,0x5e,0x5f,0x60,0x61,0x62,0x63,0x63,0x64,0x65,0x66,0x67,0x68,0x69,0x6a,0x6a,0x6b,0x6c,0x6d,0x6e,0x6f,0x70,0x71,0x72,0x72,0x73,0x74,0x75,0x76,0x77,0x78,0x79,0x7a,0x7b,0x7b,0x7c,0x7d,0x7e,0x7f,0x80,0x81,0x82,0x83,0x84,0x85,0x85,0x86,0x87,0x88,0x89,0x8a,0x8b,0x8c,0x8d,0x8e,0x8f,0x90,0x90,0x91,0x92,0x93,0x94,0x95,0x96,
.section .text
vectors:& && &&&
& && &&&.word STACKINIT& && && &
& && &&&.word _start + 1& && &&&
& && &&&.word _nmi_handler + 1&&
& && &&&.word _hard_fault&&+ 1&&
& && &&&.word 0
& && &&&.word 0& &
& && &&&.word 0
& & & & .word 0
& & & & .word 0
& & & & .word 0
& & & & .word 0
& & & & .word _svc_handler +1
& & & & .word 0
& & & & .word 0
& & & & .word _pendsv_handler +1
& & & & .word 0& & @_systick +1& && && && && &@ 15& &
& & & & .word 0& &&&@ _wwdg +1& && && & @ 0
& & & & .word 0& &&&@_pvd +1& && && && &@ 1
& & & & .word 0& &&&@_rtc +1& && && && &@ 2
& & & & .word 0& &&&@_flash +1& && && & @ 3
& & & & .word 0& &&&@ _rcc + 1& && && & @ 4
& & & & .word 0& && &@_exti0_1&&+1& && &@ 5
& & & & .word 0& && &@ _exti2_3 +1& && &@ 6
& & & & .word 0& && & @_exti4_15 +1& &&&@ 7
& & & & .word 0& && && && && && && && & @ 8
& & & & .word 0& && && &@_dma1_1&&+1& & @ 9
& & & & .word 0& & @_dma1_2_3 +1& && &&&@ 10
& & & & .word 0& && & @_dma1_4_5 +1& &&&@ 11
& & & & .word 0& && &@_adc1 +1& && && & @ 12
& & & & .word 0& && & @_tim1_brk_up +1&&@ 13
& & & & .word 0& && &&&@ _tim1_cc +1& & @ 14
& & & & .word 0& && && &@_tim2 +1& && & @ 15
& & & & .word 0& && && & @_tim3 +1& && &@ 16
& & & & .word 0& && && && && && && && & @ 17
& & & & .word 0& & & & & & & && && && && && &&&@ 18
& & & & .word _tim14 +1& & @ 19
& & & & .word 0& && && && && && && && & @ 20
& & & & .word 0& && && &@_tim16 +1& && &@ 21
& & & & .word 0& && && &@_tim17 +1& && &@ 22
& & & & .word 0& && && & @_i2c& &+1& &&&@ 23
& & & & .word 0& && && && && && && && & @ 24
& & & & .word 0& && && &&&@_spi& &+1& & @ 25
& & & & .word 0& && && && && && && && & @ 26
& & & & .word 0& && && &@_usart1 +1& &&&@ 27
& & & & ldr r0, = 0x @ rcc
& & & & ldr r2, = 0x& &@FLASH访问控制
& & & & movs r1, # 0x32
& & & & str r1, [r2]& && && &&&@FLASH缓冲 缓冲开启
& & & & ldr r1, = 0x110002& &&&@HSE-PLL 6
& & & & str r1, [r0, # 0x04]
& & & & ldr r1, = 0x1090001
& & & & str r1, [r0]
& & & & ldr r1, [r0]
& & & & lsls r1, # 30
& & & & bpl dengrc
dengjingzhen:
& & & & ldr r1, [r0]
& & & & lsls r1, # 14
& & & & bpl dengjingzhen
& & & & ldr r1, [r0]
& & & & lsls r1, # 6
& & & & bpl dengpll
& & & & @0x34时钟控制寄存器 2 (RCC_CR2)
& & & & movs r1, # 0x01
& & & & str r1, [r0, # 0x34]&&@ HSI开14M时钟
dengdai14mshizhongwending:
& & & & ldr r1, [r0, # 0x34]
& & & & lsls r1, r1, # 30& &&&@ 左移30位
& & & & bpl dengdai14mshizhongwending&&@ 等待14M时钟稳定
chushihuaneicun:& & & & @ 设置内存里的变量
& & & & ldr r0, = zhengxianbiaozhizhen @ 正弦波指针
& & & & movs r1, # 0
& & & & str r1, [r0]
waisheshizhong:& & & &&&@ 外设时钟& && && && &&&
& & & & @+0x14 #0(DMA),2(SRAM),4(FLITF),6(CRC),17(PA)
& & & & @18(PB),19(PC),20(PD),22(PF)
& & & & @+0x18 #0(SYSCFG),5(USART6),9(ADC),#11(TIM1)
& & & & @12(SPI), @14(USART1),16(TIM15),17(TIM16),
& & & & @18(TIM17),22(DBGMCU)
& & & & @+0X1C # 1(TIM3),4(TIM6),5(TIM7),8(TIM14),11(WWDG),
& & & & @14(SPI2),17(USART2),18(USART3),19(USART4),20(USART5)
& & & & @21(I2C1),22(I2C2),23(USB),28(PWR)
& & & & ldr r0, = 0x
& & & & ldr r1, = 0x60005
& & & & str r1, [r0, # 0x14]
& & & & ldr r1, = 0x100
& & & & str r1, [r0, # 0x1c]
waishezhongduan:& & & & @外设中断
& & & & @0xE000E100& & 0-31 写1开,写0没效
& & & & @0XE000E180& & 0-31 写1关,写0没效
& & & & @0XE000E200& & 0-31 挂起,写0没效
& & & & @0XE000E280& & 0-31 清除, 写0没效
& & & & ldr r0, = 0xe000e100
& & & & movs r1, # 0x01
& & & & lsls r1, # 19 @ tim14
& & & & str r1, [r0]
tim14chushihua:
& && &&&ldr r0, = 0x
& && &&&movs r1, # 3
& && &&&str r1, [r0, # 0x28]
& && &&&ldr r1, = 300
& && &&&str r1, [r0, # 0x2c]
& && &&&ldr r1, = 0x60
& && &&&str r1, [r0, # 0x18]
& && &&&ldr r1, = 0x01
& && &&&str r1, [r0, # 0x20]
& & & & & & & & & & & & & & & & @ldr r1, = 65535
& & & & & & & & & & & & & & & & @str r1, [r0, # 0x34]
& & & & movs r1, # 0x01
& & & & str r1, [r0, # 0x0c]
& && &&&ldr r1, = 0x81
& && &&&str r1, [r0]
io_she_zhi:
@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
& & & & @a(0x)b(0x)c(0x)d(0x48000c00)f(0x)
& & & & @ 输入(00),通用输出(01),复用功能(10),模拟(11)
& & & & @偏移0x4 = 端口输出类型 @ (0 推挽),( 1 开漏)
& & & & @偏移0x8 = 输出速度&&00低速, 01中速, 11高速
& & & & @偏移0xC = 上拉下拉 00无上下拉,&&01 上拉, 10下拉
& & & & @偏移0x10 = 输入数据寄存器
& & & & @偏移0x14 = 输出数据寄存器
& & & & @偏移0x18 = 端口开&&0-15置位
& & & & @偏移0x28 = 端口关
& & & & @0X20 = 复用低
& & & & @GPIO口0(0-3位)每个IO口占用4位
& & & & @ AF0 = 0X0000, AF1 = 0X0001, AF2 = 0X0010 AF3 = 0X0011, AF4 = 0X0100
& & & & @ AF5 = 0X0101, AF6 = 0X0111, AF7 = 0X1000
& & & & @0x24 = 复用高
& & & & @GPIO口8 (0-3位)每个IO口占用4位
& & & & @ AF0 = 0X0000, AF1 = 0X0001, AF2 = 0X0010 AF3 = 0X0011, AF4 = 0X0100
& & & & @ AF5 = 0X0101, AF6 = 0X0111, AF7 = 0X1000
@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
& & & & ldr r0, = 0x @ gpio_a
& & & & ldr r1, = 0x @ pa4
& & & & str r1, [r0]
& & & & ldr r1, = 0x40000
& & & & str r1, [r0, # 0x20]
zhengxuanbo:
& & & & b zhengxuanbo& & & &
_nmi_handler:
& & & & bx lr
_hard_fault:
& & & & bx lr
_svc_handler:
& & & & bx lr
_pendsv_handler:
& & & & bx lr
tim14ting:
& & & & push {r0-r7,lr}
& & & & ldr r0, = zhengxianbiao
& & & & ldr r2, = zhengxianbiaozhizhen
& & & & ldr r5, = 0x
& & & & ldr r3, [r2]
& & & & ldr r1, [r0, r3]
& & & & str r1, [r5, # 0x34]
& & & & ldr r4, = 4092
& & & & cmp r3, r4
& & & & bcc tim14fanhui
& & & & movs r3, # 0
& & & & b tim14fanhui
tim14fanhui:
& & & & adds r3, r3, # 4
tim14fanhui1:
& & & & str r3, [r2]
& & & & movs r0, # 0
& & & & str r0, [r5, # 0x10]
& & & & pop {r0-r7,pc}
应该发楼上电脑区
现在坚持用汇编编程应该都是牛人
汇编的?以前打得手都酸了
你這是編譯以後再修改的吧
看不出來真正汇编的地基
如果有心發布研究成果
最好把高階源碼顯露一下才有人跟風
DA0.jpg (128.81 KB, 下载次数: 0)
23:20 上传
DA1.jpg (118.79 KB, 下载次数: 0)
23:20 上传
DA2.jpg (122.89 KB, 下载次数: 0)
23:20 上传
这个事不用汇编也不是问题的啊..
厉害了哥,小学水平也玩STM
微信:caoyin513 E-mail:
Powered bySTM32F030F4P6最小系统原理图_文档下载
亿万文档 免费下载
当前位置: &
& STM32F030F4P6最小系统原理图
STM32F030F4P6最小系统原理图
3 AMS1117 2 OUT PIU102 3V3
3 PIU103 IN
1 PIJ101 A
PIJ102 3 PIJ103 4 PIJ104 PIJ105 0 PIJ100
5V Vbus DD+ ID GND Shield MICRO USB GND
Res2 1K 3V3
PID201 PID20
NLPA4 COR3 R3
PIR301 PIR302
PI 10 PI 102 PI103
BOOT0 Res2 10K
5v-3.3v稳压电路
SWDIO SWCLK
PIP303 PIP304
1 2 3 4 Header 4
BOOT0 PF0 PF1 NRST VDDA PA0 PA1 PA2 PA3 PA4
PIU203 4 PIU204
5 6 PIU206 7 PIU207 8 9 PIU209 10 PIU2010
PIU205 PIU208
BOOT0 SWCLK/PA14 PF0/OSC_IN SWDIO/PA13 PF1/OSC_OUT USART1_RX/TIM1_CH3/TIM17_BKIN/I2C1_SDA/PA10 NRST USART1_TX/TIM1_CH2/TIM15_BKIN/I2C1_SCL/PA9 VDDA VDD PA0/ADC_IN0/RTC_TAMP2/WKUP1 VSS PA1/ADC_IN1 ADC_IN9/TIM3_CH4/TIM14_CH1/TIM1_CH3N/PB1 PA2/ADC_IN2/TIM15_CH1 ADC_IN7/SPI1_MOSI/TIM3_CH2/TIM14_CH1/TIM1_CH1N/TIM17_CH1/PA7 PA3/ADC_IN3/TIM15_CH2 ADC_IN6/SPI1_MISO/TIM3_CH1/TIM1_BKIN/TIM16_CH1/PA6 PA4/ADC_IN4/TIM14_CH1/SPI1_NSS ADC_IN5/SPI1_SCK/PA5
20 PA14 PIU2020
PIU2018 17 PA9 PIU2017
NLPA13 PA13 PA10
NLPA14 SWCLK NLSWCLK
NLSWDIO SWDIO RX TX
PIP201 PIP202
16 15 PIU2015 NLPB1 14 PB1 PIU2014 NLPA7 13 PA7 NLPA6 12 PA6 PIU2012 NLPA5 11 PA5 PIU2011
NLTX TX NLRX RX
STM32F030F4 GND 3V3
VDDA PIR402
COC3 C3 NLPF0 PF0 COP5 P5
PIC301PIC302 PIC301 PIC302
R5 COR5 Res2 PIR501 10K NRST
PIC501 PIC502
C5 COC5 104
PA14 PA13 PA10 NLPA10 PA9 NLPA9 PB1 PA7 PA6 PA5
PIP501 PIP502 SWCLK PIP503
COP4 P4 BOOT0 PIP401 1 PF0 PIP402 2 PF1 PIP403 3 NRST NLNRST PIP404 4 VDDA PIP405 5 PA0 NLPA0 PIP406 6 NLPA1 PA1 PIP407 7 NLPA2 PA2 PIP408 8 PA3 NLPA3 PIP409 9 PA4 PIP4010 10 PIP4011 11 PIP4012 12
1 2 3 SWDIO PIP504 4 RX PIP505 5 TX PIP506 6 PIP507 7 PIP508 8 PIP509 9 PIP5010 10 PIP5011 11 PIP5012 12 Header 12 Title
PIY102 COY1
PIY10 8MHz
PIC401PIC402 PIC401 PIC402
D Number Revision
Size A4 Date: File:
Sheet of D:\4.DXP My Workspace\..\Sheet1.SchDocDrawn By: 4
Word文档免费下载: (下载1-2页,共2页)
STM32F030F4数据手册_计算机硬件及网络_IT/计算机_专业资料。官方Datasheet STM32F030x4 STM32F030x6 STM32F030x8 Value-line ARM-based 32-bit MCU with 16 ...Device summary Reference STM32F030x4 STM32F030x6 STM32F030x8 Part number STM32F030F4 STM32F030C6, STM32F030K6 STM32F030C8, STM32F030R8 3 July 2013 ...STM32省电模式(STM32F103 STM32F030F4)_信息与通信_工程科技_专业资料。STM32省电模式(STM32F103 STM32F030F4) 1. 三种模式 Sleep,stop,standby,省电量由小到...按键电路 34 Presentation Title 10/04/2014 UM1658中的电路图 ? STM32F030...STM32F4学习笔记之GPIO(... 4页 免费
stm32如何使用官方固件库... 20页 ...STM32F030解码PT2264_信息与通信_工程科技_专业资料。STM32F030解码PT2264 STM32F030F4P6 解码 PT2264 QQ 7982728 思路: 接收板的数据引脚接 030 的 PA4,采用...COP6 PAP104 COP5 PAP106 PAP501 PAP502 PAP108 PAP503 PAP504 COD1 COR4...最小系统板电路原理图 1页 1下载券
STM32F103C8最小系统板 1页 1下载券 ...STM32F4 开发板各部分的硬件原理 图, 让大家对该...P6(PA9&PA10) 、P9(PA2&PA3) 、P10(PB10&...2.1.19.1 LED 其中 PWR 是系统电源指示灯,为...STM32F103RB最小系统_RV2_互联网_IT/计算机_专业资料。1 2 3 4 +5 COU1...LM60Q343F20PFL961Q04F30P16L04Q0F1P64012 COC8 PASTPMA3S2TFMP13A02S3FT1...STM32F030 x4 x6 x8 管脚图_电子/电路_工程科技_专业资料 暂无评价0人阅读0次下载举报文档 STM32F030 x4 x6 x8 管脚图_电子/电路_工程科技_专业资料。...COP6 P6 22R 2 4 6 8 10 12 14 16 18 20 PIP602 PIC1201 5V COP5 ...stm32f103zet6 最小系统... 1页 1下载券
STM32最小系统原理图 1页 免费...但是作为一个最小系统,应该添加的文件如下图所示: STM32F030 入门文章 火工头陀出品 下面进行“main”文件里面的编写,我们的目的是点亮 DEMO 板上的 LED,所以...STM32入门学习之DMA(STM32F030F4P6基于CooCox IDE)
本代码基于STM32F030F4P6,用例为DMA控制串口发送,需要注意以下几点
1、注意串口IO配置,包括IO配置和复用功能选择
2、STM32F030系列的DMA不支持外设到外设,STM32F030只有DMA1,没有DMA2
3、注意不同外设对应不同DMA通道,而且不同芯片的通道也不一定相同,注意看数据手册
直接代码如下:
#include &stm32_lib/inc/stm32f0xx_rcc.h&
#include &stm32_lib/inc/stm32f0xx_gpio.h&
#include &stm32_lib/inc/stm32f0xx_usart.h&
#include &stm32_lib/inc/stm32f0xx_dma.h&
//以下两种地址写法都可以
#define USART1_TDR_Address ((uint32_t)(USART1_BASE+0x28))
//#define USART1_TDR_Address (uint32_t)(&USART1-&TDR)
unsigned char hellStr[]={'H','E','L','L','O'};
void RCC_Config(void);
void GPIO_Config(void);
void USART_Config(void);
void DMA_Config(void);
int main(void)
SystemInit();
RCC_Config();
GPIO_Config();
USART_Config();
DMA_Config();
//使能DMA通道2,意味着开始传输
DMA_Cmd(DMA1_Channel2,ENABLE);
void RCC_Config(void)
//时钟配置
RCC_AHBPeriphClockCmd(RCC_AHBPeriph_GPIOA, ENABLE);
RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1, ENABLE);
RCC_AHBPeriphClockCmd(RCC_AHBPeriph_DMA1,ENABLE);
void GPIO_Config(void)
//选择引脚
//针对F030F4P6,TX有PA2和PA9可选,RX有PA3和PA10可选,特别注意查看文档是AF多少
//GPIO_PinAFConfig(GPIOA,GPIO_PinSource2,GPIO_AF_1);
//GPIO_PinAFConfig(GPIOA,GPIO_PinSource3,GPIO_AF_1);
GPIO_PinAFConfig(GPIOA, GPIO_PinSource9, GPIO_AF_1);
GPIO_PinAFConfig(GPIOA, GPIO_PinSource10, GPIO_AF_1);
//引脚设置
GPIO_InitTypeDef PORT_UART1_TX; //定义TX脚,PORT_UART1_TX是自定义名称,可以任意
PORT_UART1_TX.GPIO_Pin = GPIO_Pin_9; //此参数根据上面选择的引脚来定
PORT_UART1_TX.GPIO_Speed = GPIO_Speed_10MHz;
PORT_UART1_TX.GPIO_Mode = GPIO_Mode_AF;
PORT_UART1_TX.GPIO_PuPd = GPIO_PuPd_NOPULL;
//IO初始化
GPIO_Init(GPIOA, &PORT_UART1_TX);
GPIO_InitTypeDef PORT_UART1_RX; //定义RX脚
PORT_UART1_RX.GPIO_Pin = GPIO_Pin_10; //此参数根据上面选择的引脚来定
PORT_UART1_RX.GPIO_Speed = GPIO_Speed_10MHz;
PORT_UART1_RX.GPIO_Mode = GPIO_Mode_AF;
PORT_UART1_RX.GPIO_PuPd = GPIO_PuPd_NOPULL;
GPIO_Init(GPIOA, &PORT_UART1_RX);
void USART_Config(void)
//串口参数配置
USART_InitTypeDef USART_InitS
USART_InitStructure.USART_BaudRate = 115200; //设置波特率
USART_InitStructure.USART_WordLength = USART_WordLength_8b; //设置数据长度
USART_InitStructure.USART_Parity = USART_Parity_No; //设置奇偶校验
USART_InitStructure.USART_StopBits = USART_StopBits_1; //设置停止位
USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_N //设置流控制
USART_InitStructure.USART_Mode = USART_Mode_Tx | USART_Mode_Rx; //设置模式
USART_Init(USART1, &USART_InitStructure);
//使能DMA发送
USART_DMACmd(USART1,USART_DMAReq_Tx,ENABLE);//串口1发射 DMA使能
USART_Cmd(USART1, ENABLE);
void DMA_Config(void)
//特别注意,对于STM32F030F4P6,USART1_TX对应通道2,注意看数据手册
DMA_DeInit(DMA1_Channel2);
DMA_InitTypeDef DMA_InitS
DMA_InitStructure.DMA_PeripheralBaseAddr=USART1_TDR_A
DMA_InitStructure.DMA_MemoryBaseAddr=(uint32_t)hellS
DMA_InitStructure.DMA_DIR=DMA_DIR_PeripheralDST;
DMA_InitStructure.DMA_BufferSize=5;
DMA_InitStructure.DMA_PeripheralInc=DMA_PeripheralInc_D
DMA_InitStructure.DMA_MemoryInc=DMA_MemoryInc_E
DMA_InitStructure.DMA_PeripheralDataSize=DMA_PeripheralDataSize_B
DMA_InitStructure.DMA_MemoryDataSize=DMA_MemoryDataSize_B
DMA_InitStructure.DMA_Mode=DMA_Mode_N
DMA_InitStructure.DMA_Priority=DMA_Priority_H
DMA_InitStructure.DMA_M2M=DMA_M2M_D
DMA_Init(DMA1_Channel2,&DMA_InitStructure);

我要回帖

更多关于 晶振的输入和输出 的文章

 

随机推荐