计数器与分频器的区别组成的分频器初始态问题

请教:计数器和分频器是同一种电路吗?
但可以用计数器实现整数倍的分频器。
有问题可以再联系
这是属于在网络繁忙的时候遇到的现象!
主要影响的因素如下:
1,做为中国目前第一门户网站,使用新浪服务的人越来越多,网络系统的升级测试并且需要经常维护;...
你说的感应器是接近开关一类的东西吗?只要接近开关的电压和计数器线圈的工作电压一致,就能直接连接,否则要加个中间继电器。计数器只要带辅助触点,就可以用辅助触点控制...
去看了,你的博客计数器有啊,现在是166。你遇到的是新浪系统问题,多刷新几次或者过一阵就好!
消磁电路失效,被磁化严重,可以拿去修,也可以换了。
答: 早孕的话一般是月经推迟。用验孕棒或者早孕试纸都可以测出,另外也可以去医院,里面查血。
答: 考试合格啊!
答: 1. 保持地板干燥清洁,避免与大量的水接触,若遇漏水于地面,必须及时擦干净,用风扇吹干,不能直接太阳暴晒或用电炉烘烤,以免干燥过快,地板干裂。
2. 不允许用碱...
答: 电机工程学学科教育编辑电机工程师通常会经过“电机工程学”、“电子工程”或“电力电子工程”等名目的学位教育
大家还关注
确定举报此问题
举报原因(必选):
广告或垃圾信息
激进时政或意识形态话题
不雅词句或人身攻击
侵犯他人隐私
其它违法和不良信息
报告,这不是个问题
报告原因(必选):
这不是个问题
这个问题分类似乎错了
这个不是我熟悉的地区
相关问答:123456789101112131415【图文】计数器的设计修订_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
计数器的设计修订
大小:1.27MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢由计数链组成的脉冲计数器;由计数链组成的分频器-钱眼专利分类
┆┆┆┆┆┆┆┆┆┆┆┆┆┆┆
┆┆┆┆┆┆┆┆┆┆┆┆┆┆┆
的专利共 29
热点信息推荐
热点企业推荐
申请人/专利权人:
一种被设置成用于产生输出信号,指示监控电路工作状态的装置。在输入节点接收与所述监控电路相关的输入信号。连接到所述输入节点的脉冲串发生器,被设置成以指定频率按在第一和第二...
申请人/专利权人:
本实用新型公开了一种分频器电路,包括异或门、模N可配置计数器和T触发器和时钟反相控制电路,时钟反相控制电路中包括有第一与门、第二与门,非门、或门、第一触发器和第二触发器...
申请人/专利权人:
一种扩频时钟发生器包括:相位频率检测器,根据接收的分频信号与具有参考频率的参考信号产生相位差信号;电荷泵,接收相位差信号并产生输出电流;环路滤波器,接收输出电流并转换为...
申请人/专利权人:
在固定分频器(305)的输出(310c)和反相/同相单元(304)的控制端(310b)之间,形成反馈路径(307)。将连接器件(306)设置在反馈路径(307)上。根据...
申请人/专利权人:
一种可编程多模数分频器,用来依据多个更新除数讯号将一来源脉冲进行分频以输出一分频后的目标脉冲,该可编程多模数分频器包含有至少一第一分频单元,该至少一第一分频单元相互串接...
申请人/专利权人:
公开一种采用不同时钟的设备的时钟同步装置与方法。在采用第一时钟操作的第一设备与采用比第一时钟更快的第二时钟操作的第二设备之间,第二设备的操作等待时间参照第一时钟,控制第...
申请人/专利权人:
一种用来将高速时脉信号转换成低速时脉信号的时脉信号产生器,包含一接收高速时脉信号,并计数其周期的第一计数器,第一计数器数到一个第一预设值便产生一个输出信号;一接收高速时...
申请人/专利权人:
一种用于分频时钟电路的方法和设备,使用了一种装置,该装置具有能够不断地递增经过第一种多个状态的第一计数单元和具有第二数目的状态的第二个计时单元,产生第二输出位并且不断地...
申请人/专利权人:
在测试计数器的方法中,首先将计数器置为预定初始值,接着响应时钟增量计数器。对时钟进行计数直到计数器输出进位以提供实际计数值。将实际计数值与预先计算的参考值进行比较,然后...
申请人/专利权人:
一种输出具有随机计数值的计数信号的计数器。该计数器包括时钟发生器和计数电路。该时钟发生器基于输入时钟信号生成具有不同相位的第一和第二时钟信号。响应该第一和第二时钟信号,...
申请人/专利权人:
本发明适用于芯片设计领域,提供了一种大容量无刷新的高速统计计数器,所述统计计数器包括:至少一个第一级计数器,选通器,累加器,第二级计数器,以及刷新控制器,所述第二级计数...
申请人/专利权人:
本发明适用于数字信号处理领域,提供了一种分频方法以及分频计数器,生成实时跟踪外界信号相位的分频信号,所述方法包括下述步骤:A.根据外界信号控制信息调整分频计数器的置数值...
申请人/专利权人:
一种位置探测装置,包括具有n个段的光电探测元件阵列以及并行运算处理部分,所述并行运算处理部分被布置成通过比较来自光电探测元件阵列的段的输出值(OV)而识别具有最大强度的...
申请人/专利权人:
本实用新型涉及适用源信号频率较低而倍频的倍数要求大的2↑[N]次倍频装置,包括高频晶振、长周期计数器、短周期计数器和控制逻辑电路;其中长周期计数器用于对源信号周期进行时...
申请人/专利权人:
本发明公开了两种输出格雷码计数的方法及计数器,输出N(N>1)位格雷码,包括N+1个触发器CNT[N:0];其中触发器CNT[0]在每个计数时钟沿反向;当触发器CNT[...
申请人/专利权人:
本发明的目的在于使选择性地配置不同类型的电子计数器成为可能。因此,本发明配备有单片微计算机12,它具有内置的只读存储器13和随机存取存储器14,以便在随机存取存储器14...
申请人/专利权人:
一种非对称12脉冲环形控制器。它的12个输出端上的脉冲宽度不是固定不变的,是按照既定规律随时间而变化,用于控制不完全型双重式逆变器,以实现两重变频器之间的输出功率达到平...
申请人/专利权人:
一种智能可逆计数器。本发明涉及微处理器应用和脉冲数字电子电路技术。该计数器采用两个高速度、大容量的高频计数器,以实现对同相位信号直接进行可逆计数;采用微处理器实现两路计...
申请人/专利权人:
计数器电路,对应于线性序列和交错序列两种模式,电路结构简单,并具有可达到设定的任意数的计数器电路数和输出显示该数信号的功能。设有与3个触发器电路FF1~FF3对应的锁存...
申请人/专利权人:
一种能切换计数模式的异步计数器包括:触发器、和在触发器的相邻对之间分别提供的三输入单输出的三值开关。该三值开关在三个值中切换,即触发器的非反相输出和反相输出以及电源电平...
申请人/专利权人:
本实用新型涉及采用数字电路原理的动作延时数字式时间继电器,具体为用于电力系统继电保护或自动控制回路中用的数字式时间继电器。其特点是:晶体振荡器(3)连接2n分频电路(2...
申请人/专利权人:
提供一种电路规模小、输出占空比50%的时钟的分数分频电路和包括该分频电路的数据传输装置。分数分频电路具有:整数分频电路,由多个主从触发器构成,以分频比1/N(N是整数)...
申请人/专利权人:
一种高速数字信号传输脉冲的波形,该波形是由传输周期基本时间脉冲的2的指数倍波宽的两种半波所均匀组合而成,在基本工作时间脉冲周期为传输脉冲数目的整数倍时,该波形的各方波波...
申请人/专利权人:
一种多路脉冲计数装置,包括依次连接的第一计数器(1)、译码器(2)、与电路(3)、异或电路(4)、第二计数器(5)。时钟脉冲源同时输入信号给第一计数器(1)及译码器(2...
申请人/专利权人:
本实用新型涉及一种安全限时控制器。由电源、时钟信号发生处理器、信号触发单元、电磁操纵装置、状态指示单元、电气开关构成。电源为各工作单元提供工作电压,时钟信号发生处理器有...
申请人/专利权人:
本发明公开了一种用以提高计时精度的计数电路,该电路用一个由多个1位计数器、一个多位计数器和一个编码逻辑组成的多路并行计数电路,对一多相时钟脉冲系计数,使计时精度大幅度地...
申请人/专利权人:
多功能电子式计数器由信号输入电路、信号处理电路、计数及显示驱动电路、计数状态控制电路、显示器和电源电路构成,可接受各种电压幅度的脉冲电压和触点开/关两种形式的输入信号,...
申请人/专利权人:
本发明提供一种状态机、计数器及相关装置与方法。本发明中的状态机设有多个状态单元及一时钟脉冲门控电路,各状态单元用来接收一时钟脉冲的触发以产生对应的递变状态输出,该时钟脉...
申请人/专利权人:
在采用频率合成的方法和装置时,特别是在以分析由辐射源发射的和由瞄准的目标再发射的电磁辐射射束的相位随时间变化的原理为基础的测距设备中,一个优选地由石英振荡器提供的频率在...
  共29 条信息,当前显示第
1 - 29 条,共1 页
钱眼网客户服务  联系方式:E-mail:  
版权所有 Copyright &2018 Qianyan.biz All rights reserved. | 网络实名:钱眼
钱眼客服电话:010-  E_Mail: QQ:   
京公网安备 61号&&&浅解D触发器组成的可变分频器--《计算机与网络》1976年04期
浅解D触发器组成的可变分频器
【摘要】:正 程序可变分频器是数字式频率合成器的一个重要组成部分,这种计数器实际上是选择状态数字的一部分预置到计数器中,使其计到最终状态。然后,这些特定的数再次置入计数器,于是,来自压控振荡器的频率被N除。所以预置决定了希望的分频比。但是,要完成这样的功能,我们在实验中遇到了不少的矛盾。主要是提高工作频率和降
【作者单位】:
【正文快照】:
程序可变分频器是数字式频率合成器的一个重要组成部分,这种计数器实际上是选择状态数字的一部分预置到计数器中,使其计到最终状态。然后,这些特定的数再次置入计数器,于是,来自压控振荡器的频率被N除。所以预置决定了希望的分频比。 但是,要完成这样的功能,我们在实验中遇到
欢迎:、、)
支持CAJ、PDF文件格式,仅支持PDF格式
&快捷付款方式
&订购知网充值卡
400-819-9993下载费用:10 库币 &
第6章 课后习题答案.pdf 第6章时序逻辑电路1第6章习题解答6-1指出下列各类型的触发器中那些能组成移位寄存器,哪些不能组成移位寄存器,如果能够,在()内打√,否则打×。(1)基本RS触发器();(2)同步RS触发器();(3)主从结构触发器();(4)维持阻塞触发器();(5)用CMOS传输门的边沿触发器();(6)利用传输延迟时间的边沿触发器()。解答:(1)×;(2)×;(3)√;(4)√;(5)√;(6)√;6-2试分析图6-79所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并且说明电路能够自启动。解答:驱动方程:;;、1 1 3JKQ== 2 2 1JKQ== 3 12JQ= 3 3KQ=状态方程:11 11 11 31 31 1 3nQJQKQQQQQ+= + = + =⊙12 22 22 12 12 1 2nQJQKQQQQQ+= + = + =⊕13 33 33 123 33 123nQJQKQQQQQQ+= + = + =输出方程:123COQQ=状态转换表如下:状态转换图如下:脉冲数初态321QQ次态1 1 13 2 1n n nQQQ+ + +输出CO1 00 01 02 01 010 03 010 01 04 01 10 05 10 00
010 111 01 1第6章时序逻辑电路2此电路为能自启动的同步五进制加法计数器。6-3试分析图6-80所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A为输入逻辑变量。解答:驱动方程:;1 2DAQ= 2 12 1 2( )DAQAQQ= = +状态方程:11 1 2nQDAQ+==12 2 1 2( )nQDAQQ+== +输出方程:12COAQ=状态转换表如下:状态转换图如下:此电路为串行数据检测器,当输入4个或4个以上的1时输出为1,其他输入情况下输出为0。6-4试分析图6-81所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。检查电路能否自启动。解答:脉冲数A初态21Q次态1 12 1n nQQ+ +输出CO1 0 0 0 02 0 01 0 03 0 10 0 04 0 1 0 01 0 01 01 01 1 01 1 10 11 10 10 1第6章时序逻辑电路3驱动方程:、;、;、1 23JQ= 1 1K= 2 1JQ= 2 1 3KQQ=? 3 12JQ= 3 2KQ=状态方程:11 11 11 231 1 1 23 12 131 = +nQJQKQQQQQQQQ+= + = +=?12 22 22 12 123nQJQKQQQQ+= + = +13 33 33 123 23nQJQKQQQQ+= + = +输出方程:23COQ=状态转换表如下:电路的状态转换图如下:此电路为能自启动的同步七进制加法计数器。6-5试分析图6-82给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A为输入变量。解答:驱动方程:;;1 1 1JK== 2 2 1JKAQ==⊕状态方程:11 11 11 1nQJQKQQ+= + =12 22 22 1 2 1 2 1 2nQJQKQAQQAQQAQQ+= + =⊕? +⊕? =⊕⊕输出方程:12 12 12 12COAQAQAQAQ= ? = +状态转换表如下:脉冲数初态321QQ次态1 1 13 2 1n n nQQQ+ + +输出CO1 00 01 02 01 010 03 010 01 04 01 10 05 10 101
00 111 00 1脉冲数A初态21Q次态1 12 1n nQQ+ +输出CO第6章时序逻辑电路4状态转换图如下:此电路为可逆计数器。当A为0时实现两位二进制加法计数,输出上升沿为进位信号;当A为1时实现两位二进制减法计数,输出上升沿为借位信号。6-如在图6-8a所示的4位移位寄存器C4015的CP和DS输入端加上如图6-83所示的波形,设各个触发器的初态均为0,试画出个触发器相应的输出波形。解答:各触发器相应的输出波形如下:6-7在图6-84中,若两个移位寄存器中原存放的数据分别为A32A10=101,B32B10=01,试问经过4个CP脉冲作用后,两个寄存器中的数据各为多少?此电路完成什么功能?解答:4个CP脉冲作用后,两个寄存器中的数据各为A:A32A10=10,B:B32B10=00。此电路为4位串行加法器,实现4位全加的功能。6-8如在图6-85所示循环寄存器的数据输入端加高电平,设时钟脉冲CP到来之前两个双向1 0 0 01 12 0 01 10 03 0 10 1 04 0 1 0 01 1 10 11 10 01 01 01 0 01 0 1 0第6章时序逻辑电路5移位寄存器CT74LS194的输出Q0~Q3’为10010,若基本RS触发器的输入分别为:(1),;(2),。分别在5个CP脉冲作用之后,试确定寄0S= 1R= 1S= 0R=存器相应的输出Q0~Q3’为何状态?解答:(1)10106-9回答下列问题:(1)欲将一个存放在移位寄存器中的二进制数乘以16,需要多少个移位脉冲?(2)若高位在此移位寄存器的右边,要完成上述功能应左移还是右移?(3)如果时钟频率是50kHz,要完成此动作需要多少时间?解答:(1)需要4个移位脉冲;(2)右移;(3)T= 34=80s5010u×6-10分析图6-86所示电路,写出电路的驱动方程、状态方程和输出方程,画出状态转换图和时序图,确定其逻辑功能。解答:驱动方程:,,,0=1T 1 0=QT 2 10=QT 3 210=QQT状态方程:10 0nQQ+=11 10 10nQQQ+= +12 210 210nQQQQQ+= +13 nQQQQQ+= +输出方程:3210COQQ=状态转换表如下:脉冲数初态3210QQ次态1 1 1 13 2 1 0n n n nQQQQ+ + + +输出CO1 00 001 02 001 010 03 010 01 04 01 010 05 010 1 010 07 010 011 08 011 100 0第6章时序逻辑电路6状态转换图及时序图如下:此电路为同步十六进制(或4位二进制)加法计数器。6-1回答下列问题:(1)7个T’触发器级联构成计数器,若输入脉冲频率f=512kHz,则计数器最高位触发器输出的脉冲频率。(2)若需要每输入1024个脉冲,分频器能输出一个脉冲,则此分频器需要多少个触发器连接而成?解答:(1)7个T’触发器级联构成128进制计数器,所以最高位触发器输出脉冲频率为512 4128kHzf kHz= =(2)若要每输入1024个脉冲,分频器能输出一个脉冲,即要实现1024进制计数器,需要用10个触发器连接而成。6-12分析图6-87所示电路的逻辑功能。解答:本电路为异步时序电路。9 100 101 010 101 0 101 012 101 10 013 10 101 014 101 110 015 110 11 116 11 00 0第6章时序逻辑电路7时钟方程:,,,0 0CPCP= 1 0CPQ= 2 1CPQ= 3 0CPQ=驱动方程:,0 0 1JK==、,0 3 2 3 2JQQQQ=? =+ 1 1K=,2 2 1JK==、3 2 1JQQ=? 3 1K=状态方程:(CP↓)10 0nQQ+=(↓)11 3 2 1( )nQQQQ+=+ 0Q(↓)12 2nQQ+= 1Q(↓)13 3 2 1nQQQQ+=? ? 0Q输出方程:3 2 1 0COQQQQ=? ? ?状态转换图和时序图如下:此电路为能自启动的异步十进制减法计数器。6-13分析图6-8所示电路的逻辑功能。解答:第6章时序逻辑电路8驱动方程:,,1 3 1DQQ=? 2 2 1DQQ=⊕ 3 21DQ=状态方程:,,11 3 1nQQQ+=? 12 2 1 21 21nQQQQQ+=⊕= + 13 21nQQ+=状态转换表如下:状态转换图如下:此电路为能自启动的同步五进制加法计数器。6-14已知计数器的输出波形如图6-89所示,试确定该计数器有几个独立状态。并画出状态转换图。解答:由图可知,计数器有6个独立的状态,状态转换图如下:6-15分析图6-90的计数器电路,说明这是多少进制的计数器。解答:分析电路,160为十进制计数器,采用预置数,101的下一个状态置为01,所以该电路为七进制计数器。6-16CT74161为中规模集成同步4位二进制加法计数器,除计数进制外,其功能与CT74160相同,见表6-13所示。分析图6-91的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。脉冲数初态321QQ次态1 1 13 2 1n n nQQQ+ + +1 00 012 01
章时序逻辑电路9解答:分析电路,采用异步清零工作模式,1010状态时异步清零,所以1010为暂态,电路有00->101共10个状态,为十进制计数器。状态转换图如下:6-17试用4位同步二进制计数器CT74161接成十二进制计数器,标出输入、输出端。可以附加必要的门电路。解答:可采用预置数模式,101时预置数为00,将预置数端作为进位输出端,即可实现十二进制计数功能。如图所示。6-18试分析图6-92的计数器在A=1和A=0时各为几进制。解答:电路采用预置数方式,101时预置数。当A=1时预置数为010,电路为六进制计数器;当A=0时预置数为010,电路为八进制计数器。6-19图6-93电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。解答:电路采用预置数方式,预置数为00。.当A=1时,101时进行预置,电路为十二进制计数器;第6章时序逻辑电路10当A=0时,101时进行预置,电路为十进制计数器。6-20试用CT74161及必要的门电路设计一个可控进制的计数器。当输入控制变量M=0时工作在5进制,M=1时工作在15进制。请标出计数输入端和仅为输出端。解答:可以采用预置数方式,预置数为00。当M=0时计数到010时进行预置数,构成五进制计数器;当=1时计数到110时进行预置数,构成十五进制计数器。6-21分析图6-94给出的计数器电路。画出电路的状态转换图,说明这是几进制计数器。解答:290接成8421码形式,计数到010时进行置数到101,由于290置数端为异步置数,所以构成七进制计数器。状态转换图如图所示。6-2试分析图6-95计数器电路的分频K(即CO与CP的频率之比)。解答:两片161之间采用串行进位方式,两片分别独立进行预置数,低位片(I)计数到11时预置数为101,实现七进制计数;高位片(I)计数到11时预置数为011,实现九进制计数。所以,整体构成六十三进制计数器,电路实现六十三分频,故K=63,CO与CP的频率之比为1:63。6-23图6-96电路是由两片同步十进制计数器CT74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。解答:两片160采取并行进位方式,低位片(I)实现十进制计数,高位片(I)采用预置数第6章时序逻辑电路1方式,计数到101时预置数011,实现三进制计数,两片之间为十进制,整体构成三十进制计数器。6-24分析图6-97给出的电路,说明这是多少进制的计数器。解答1:在信号以前,两片74LS161均按十六进制计数。第一片到第二片为十六进制。0LD=当第一片计为2,第二片计为5时,产生信号,总的进制为0LD=5×16+2+1=83,为八十三进制计数器。解答2:两片161采用并行进位方式,进行整体置数,当计数到0101010时进行预置数,置数为0,26+24+2=83,所以整体构成十三进制计数器。6-25分析图6-98所示电路,列出其状态转换表,说明其逻辑功能。解答:a)290采用8421接法,计数到100时异步清零,所以实现八进制计数,状态依次为:00->001->010->01->010->->011(->100)->00b)290采用5421接法,计数到1010时异步清零,所以实现七进制计数,状态依次为:00->001->010->01->010->100->101(->1010)->00状态转换表分别如下:脉冲数 5
0脉冲数 5 18 -9是用两片中规模集成电路CT74290组成的技术电路,试分析此电路是多少进制的计数器。解答:两片290都采用8421接法,低位片(I)接成九进制计数器,高位片(I)接成了六进制计数器,两片构成9×6=54进制计数器。6-27分析图6-10所示电路。列出其状态转换表,说明其逻辑功能。解答:160采用预置数,当计数到010时预置数101,为六进制计数器。状态为:00->001->010->01->010->101->00第6章时序逻辑电路126-28试采用CT74290及必要的门电路以级连方式构成四十进制计数器。解答:40=10×4。两片290分别接成十进制和四进制计数器,采用串行进位方式级连。如图所示。也可以采用计数到40时进行整体清零的方式,图略。6-29试采用CT74290及必要的门电路构成8421BCD码的二十四进制计数器。解答:24=3×8。两片290分别接成8421码三进制和八进制计数器,采用串行进位方式级连。如图所示。也可以采用计数到24时进行整体清零的方式,图略。6-30试用中规模集成同步十进制加法计数器CT74160,并附加必要的门电路,设计一个二百七十三进制的计数器。解答:使用三片160采用并行进位方式,计数到272时整体置数为0。电路如图所示。6-31图6-101是由二-十进制优先权编码器CT74147和同步十进制加法计数器CT74160组成的可控分频器,试说明当输入控制信号、、、、、、、和1I 2I 3I 4I 5I 6I 7I 8I第6章时序逻辑电路13分别为低电平,并假定CP脉冲的频率为f0时,由F端输出的脉冲频率各是多少?9I解答:如表所示。6-32在图6-102中,CT74160为同步十进制加法计数器,CT742为4线-10线译码器,设计数器的初始状态为00。试画出与CP脉冲对应的Q3、Q2、Q1、Q0及与非门G的输出F的波形图。解答:6-3CT74161是可预置的4位二进制同步加法计数器,它与CT74160相比,除计数进制不同外,其他功能均相同。试分析图6-103所示计数器的输出CO与时钟脉冲CP的频率之比。解答:两片161之间采用串行进位方式,低位片(I)计数到11时预置数为011,实现9进制计数器,高位片(I)计数到11时预置数为10,实现4进制计数。整体实现36进制计数,频率之比为1:36。6-34试用4位二进制同步加法计数器CT74161构成十进制加法计数器。解答:可采用异步清零方式,计数到1010时清零,即可实现十进制加法计数器。F第6章时序逻辑电路146-35已知时钟脉冲的频率为96kHz,试用中规模集成计数器及必要的门电路组成分频器,将时钟脉冲的频率降低为60Hz。试画出该分频器电路的接线图。解答:96kHz÷60Hz=160=16×10×10,即需要实现160分频,可采用1片CT74161和2片CT74160通过级联方式实现分频功能。6-36图6-104是用C4516构成的两级可编程分频器。(1)试求该电路的分频系数;(2)设N为预置数,试求输出频率fo与输入频率fi之间的关系。解答:(1)1×16+5=21,分频系数为21。(2)1o if fN=6-37图6-105a、b为双向移位寄存器CT74LS194构成的分频器。(1)列出状态转换表;(2)总结出扭环形计数器改接成奇数分频器的规律。解答:(1)a为五进制计数器,b为七进制计数器。状态转换表分别如图所示。a b(2)CT74LS194构成扭环形计数器时,从Q0、Q1、Q2、Q3取反馈分别构成2、4、6、8分频,即M=2n。如果将两个相邻触发器输出端加到与非门输入端共同作为反馈信号,就可使计数器的模M由2n变为2n-1。6-38试用JK触发器设计一个时序电路,要求该电路的输出F与CP之间的关系应满足图6-106所示的波形图。解答:第6章时序逻辑电路15用两个JK触发器构成三进制计数器。1→0能自启动。6-39试用小规模集成电路设计一个有进位输出的同步十一进制加法计数器。解答:第6章时序逻辑电路16能自启动。6-40用JK触发器及最少的门电路设计一个同步五进制计数器,其状态(Q21Q0)转换图如图6-107所示。/0/0/0/ ?→?→?→?→解答:/1第6章时序逻辑电路,010→00,10→01,能自启动。图略。6-41设计一个控制步进电动机用的三相六状态工作的逻辑电路。如果用1表示线圈通电,0表示线圈断电,设正转时控制输入端A=1,反转时A=0,则三个线圈ABC的状态转换图应如图6-108所示。解答:若采用D触发器,则根据,即得到:1nQD+=电路图如图所示。第6章时序逻辑电路186-42设计一个灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表6-21规定的顺序转换状态。表中1表示“亮”,0表示“灭”。要求电路能自启动,并尽可能采用中规模集成电路芯片。解答:因为输出为八个状态循环,所以用74LS161的低三位作为八进制计数器。若以R、Y、G分别表示红、黄、绿三个输出,则可得计数器输出状态与R、Y、G关系的真值210QQ表:第6章时序逻辑电路19选两片双4选1数据选择器74LS153作通用函数发生器使用,产生R、Y、G。由真值表写出的逻辑式R、Y、G,并化成与数据选择器的输出逻辑式相对应的形式电路图如下:6-43用JK触发器和门电路设计一个4位循环码计数器,其状态转换表如图6-2所示。解答:按照表的计数顺序,得到次态卡诺图,写出状态方程,得到驱动方程。第6章时序逻辑电路20逻辑电路图如图所示。第6章时序逻辑电路216-4用D触发器和门电路设计一个十一进制计数器,并检查设计的电路能否自启动。解答:第6章时序逻辑电路2电路能够自启动。由于D触发器,于是得到如下所示的电路图。1nQD+=
文档加载中……请稍候!
下载文档到电脑,查找使用更方便
10 库币 &&0人已下载
还剩页未读,继续阅读
<a href="UserManage/CopyrightAppeal.aspx?bid=60816" title="版权申诉" class="fLeft works-manage-item works-manage-report" target="_blank"
关&键&词: 课后 习题 答案 主编 大学 免费 下载
& 天天文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。
本文标题:第6章 课后习题答案.pdf 链接地址:
当前资源信息
编号: 41240
类型: 共享资源
格式: PDF
大小: 2.29MB
上传时间:
&& 广告或垃圾信息
&& 色情、淫秽、低俗信息
&& 反政府、反人类、反社会等反动信息
&& 散布赌博、暴力、凶杀、恐怖或者教唆犯罪等信息
&& 侮辱、诽谤等人身攻击信息
&& 散布谣言、扰乱社会秩序,破坏社会稳定等信息

我要回帖

更多关于 计数器和分频器的区别 的文章

 

随机推荐