你好我想问下我有个音乐彩灯控制器器的电路扩展怎么加你能帮我看看吗

彩灯控制器设计_百度文库
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
彩灯控制器设计
&&彩灯控制器设计,格式什么的都弄好了,把姓名班级什么的加上就OK了
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩13页未读,
定制HR最喜欢的简历
你可能喜欢基于VHDL的8路彩灯控制器设计
彩灯控制器设计
姓名:王瑞&&
专业:通信工程
学号:&&&&&&
指导老师:黄旭
…………………………………………3
系统设计要求&&&
………………………………3
系统总体结构&&&
………………………………3
……………………………………4
3.1时钟信号二分频模块&
……………………4
3.2计数器1模块&&&
…………………………4
3.3计数器2模块&&&
…………………………5
3.4循环右移模块&&&
…………………………6
3.5循环左移模块&&&
…………………………7
3.6循环取反模块&&&
…………………………8
3.7多选一模块&
……………………………9
3.8模块组合(系统)………………………10
……………………………………11
………………………………………11
彩灯控制器设计
系统设计要求
设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下:
当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。
8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。
当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。
只要控制开关为1,上述亮灯次序不断重复。
用层次化设计方法设计该电路,编写各个功能模块的程序。
仿真各功能模块,通过观察有关波形确认电路设计是否正确。
完成电路设计后,用实验系统下载验证设计的正确性。
系统总体结构
系统框图如下所示,
ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。
使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。
各功能模块
1、时钟信号二分频模块:
功能描述:该模块的功能主要是将频率为2Hz的时钟信号分频频率为1Hz的时钟信号,并将频率为1Hz的时钟信号输出给左移、右移模块作为这两个模块时钟触发信号。
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY clk_div2 IS
PORT(CLK:IN STD_LOGIC;
OUTPUT:BUFFER STD_LOGIC);
END clk_div2 ;
ARCHITECTURE one OF clk_div2 IS
PROCESS(CLK)
IF CLK'EVENT AND CLK='1' THEN
OUTPUT&=NOT OUTPUT;
仿真波形:
2、计数器模块:
功能描述:该模块的主要功能是通过计数,实现对左移及右移模块的选通,并在右移和左移模块完成后,跳转到循环取反模块执行指令。
计数器1代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY count1 IS
PORT(CLK,ENA:IN STD_LOGIC;
OUTPUT:BUFFER STD_LOGIC_VECTOR(1 DOWNTO 0));
END count1;
ARCHITECTURE one OF count1 IS
CQ:STD_LOGIC_VECTOR(5 DOWNTO 0);
PROCESS(CLK,ENA)
IF CLK'EVENT AND CLK='1' THEN
&&&&&&&&&&
IF ENA='1' THEN
&&&&&&&&&&&&
IF CQ&"100111" THEN
&&&&&&&&&&&&&&
IF CQ="100000" THEN OUTPUT&="10";
&&&&&&&&&&&&&&
ELSIF CQ="100001" THEN OUTPUT&="01";
&&&&&&&&&&&&&&
ELSIF CQ="100010" THEN OUTPUT&="10";
&&&&&&&&&&&&&&
ELSIF CQ="100011" THEN OUTPUT&="01";
&&&&&&&&&&&&&&
ELSIF CQ="100100" THEN OUTPUT&="10";
&&&&&&&&&&&&&&
ELSIF CQ="100101" THEN OUTPUT&="01";
&&&&&&&&&&&&&&
ELSIF CQ="100110" THEN OUTPUT&="10";
&&&&&&&&&&&&&&
ELSIF CQ="100111" THEN OUTPUT&="01";
&&&&&&&&&&&&&&
END IF;&&&
&&&&&&&&&&&&
CQ&="000000";OUTPUT&="00";
&&&&&&&&&&&&
&&&&&&&&&&
ELSE CQ&="111111";
&&&&&&&&&&
END PROCESS;
仿真波形:
&计数器2代码:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY count2 IS
PORT(CLK,ENA:IN STD_LOGIC;
OUTPUT:OUT STD_LOGIC_VECTOR(5 DOWNTO 0));
END count2;
ARCHITECTURE one OF count2 IS
CQ:STD_LOGIC_VECTOR(5 DOWNTO 0);
PROCESS(CLK,ENA)
IF CLK'EVENT AND CLK='1' THEN
&&&&&&&&&&
IF ENA='1' THEN
&&&&&&&&&&&&
IF CQ&"010011" THEN
&&&&&&&&&&&&&&
ELSE CQ&="000000";
&&&&&&&&&&&&
&&&&&&&&&&
ELSE CQ&="111111";
END PROCESS;
OUTPUT&=CQ;
仿真波形:
3、循环右移模块:
功能描述:该功能实现8只LED灯的从左向右依次点亮的功能,点亮过程中,每时每刻都保证只有一个LED被点亮,其它LED全灭的状态。时间间隔为1S。
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY rightmove IS
PORT(CLK,ENA:IN STD_LOGIC;
COUNT:IN STD_LOGIC_VECTOR(5 DOWNTO 0);
OUTPUT:OUT STD_LOGIC_VECTOR(11 DOWNTO 0));
ARCHITECTURE one OF rightmove IS
PROCESS(CLK,ENA,COUNT)
IF CLK'EVENT AND CLK='1' THEN
ENA='1' THEN
&&&&&&&&&&&&&
CASE COUNT IS
&&&&&&&&&&&&&&&
"000000"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000001"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000010"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000011"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000100"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000101"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000110"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"000111"=&OUTPUT&="";
&&&&&&&&&&&&&&&
OTHERS=&OUTPUT&="";
&&&&&&&&&&&&&
ELSE OUTPUT&="";
END PROCESS;
仿真波形:
4、循环左移模块:
功能描述:该功能实现8只LED灯在从左向右依次点亮结束后,从右向左依次熄灭一只LED,熄灭过程中,每时每刻都保证只有一个LED被熄灭,其它LED全亮的状态。时间间隔为1S。
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY leftmove IS
PORT(CLK,ENA:IN STD_LOGIC;
COUNT:IN STD_LOGIC_VECTOR(5 DOWNTO 0);
OUTPUT:OUT STD_LOGIC_VECTOR(11 DOWNTO 0));
ARCHITECTURE one OF leftmove IS
PROCESS(CLK,ENA,COUNT)
IF CLK'EVENT AND CLK='1' THEN
IF ENA='1' THEN
&&&&&&&&&&&&&
CASE COUNT IS
&&&&&&&&&&&&&&&
"001000"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001001"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001010"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001011"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001100"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001101"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001110"=&OUTPUT&="";
&&&&&&&&&&&&&&&
"001111"=&OUTPUT&="";
&&&&&&&&&&&&&&&
OTHERS=&OUTPUT&="";
&&&&&&&&&&&&&
ELSE OUTPUT&="";
END PROCESS;
仿真波形:
5、循环取反模块:
功能描述:该模块实现的是对完成逐个点亮和逐个熄灭的过程后,实现每秒2次的全亮、全灭的闪烁。即相邻两个动作之间的时间间隔为0.5S。
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY qufan IS
PORT(CLK,ENA:IN STD_LOGIC;
COUNT:IN STD_LOGIC_VECTOR(1 DOWNTO 0);
OUTPUT:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0));
ARCHITECTURE one OF qufan IS
PROCESS(CLK,ENA,COUNT)
IF CLK'EVENT AND CLK='1' THEN
IF ENA='1' THEN
&&&&&&&&&&&&&&&&
"10"=&OUTPUT&="";
&&&&&&&&&&&&&&&&
WHEN "01"=&OUTPUT&=NOT
&&&&&&&&&&&&&&&&
OTHERS=&OUTPUT&="";
&&&&&&&&&&&&&
ELSE OUTPUT&="";
END PROCESS;
仿真波形:
6、多选一模块:
功能描述:此模块选择左移、右移或者是循环取反中的一个模块信号送至8只LED灯。
代码部分:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY qufan IS
PORT(CLK,ENA:IN STD_LOGIC;
COUNT:IN STD_LOGIC_VECTOR(1 DOWNTO 0);
OUTPUT:BUFFER STD_LOGIC_VECTOR(11 DOWNTO 0));
ARCHITECTURE one OF qufan IS
PROCESS(CLK,ENA,COUNT)
IF CLK'EVENT AND CLK='1' THEN
IF ENA='1' THEN
&&&&&&&&&&&&&
CASE COUNT IS
&&&&&&&&&&&&&&&&
"10"=&OUTPUT&="";
&&&&&&&&&&&&&&&&
WHEN "01"=&OUTPUT&=NOT
&&&&&&&&&&&&&&&&
OTHERS=&OUTPUT&="";
&&&&&&&&&&&&&
ELSE OUTPUT&="";
END PROCESS;
仿真波形:
7、系统组合电路:
以上详细地介绍了各个模块的功能、代码以及仿真波形。下面将把6个分模块系统有序的整合在一起,形成一个功能完整的系统。
&仿真波形:
该系统使用开发板电路模式N0.6,其电路结构为
使用的可编程芯片型号为FLEX EPF10K10-PLCC84。
在将程序下载到实验箱上实际检测的时候,引脚的设置是一项简单
但极易出现错误的工作。时钟信号由clock0送出,其时钟频率为2Hz,
使能信号ENA接的是实验箱上的3号按键。
当控制开关ENA为0时,8只彩灯保持全灭的状态;当控制开关ENA
为1时,彩灯按照预先设定的先自左向右依次点亮,全部点亮后按照自右向左的顺序依次熄灭,依次渐亮和依次熄灭的过程中,始终保持只有一盏彩灯被点亮或熄灭,且时间间隔为1S。当彩灯依次熄灭后,加快变换频率,以0.5S的时间间隔全亮、全亮交替。
调试结果:此系统可以顺利的实现了4的设定显示效果。
本次实验课题主要包括四个阶段:课题选择阶段、查阅资料阶段、编程仿真阶段、实验箱检测调试阶段。
课题的选定是抽签决定的,有不少人说彩灯是最简单的一个课题。不过我认为没什么简单困难之分,不同的只是你对待它的态度。
查阅资料阶段则主要围绕的是选择何种方案可以更好的实现要求得功能,并最终确定各个功能模块的实现方案。
编程仿真阶段则主要是把上一阶段确定的方案变为VHDL代码,并编译仿真得到仿真波形。
最后一个阶段就是实验箱检测和调试阶段,将已经编译仿真过的程序下载到实验箱进行实际的检测和调试。最终系统没出现什么问题,编译后的代码下载到实验箱后,彩灯顺利实现要求的花型变换。
最后要感谢老师的悉心指导,课程设计中,遇到了不少问题,多亏了老师的悉心指导才能够顺利、及时地解决问题,使得课题能够顺利完成。通过这次的课题设计,我深深体会到知识通过应用才能体现其价值。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。节日彩灯控制器220V交流输入想改直流12V输入,请问怎么改?去掉了IN4007,IC是YD803,单向可控硅是406J._百度知道
节日彩灯控制器220V交流输入想改直流12V输入,请问怎么改?去掉了IN4007,IC是YD803,单向可控硅是406J.
我有更好的答案
请问这个YD803-SGS是什么芯片
阻容降压电路可以实现
您说的是什么意思?请说清楚些好吗?呵没明白。。。
不知道你的控制器需要多大的直流电流,不过估计控制器不需要多大电流的工作环境。单向可控硅J406是电流0.8-1A左右的管子,如果是控制器里面的原件说明这个控制器工作电流不大,可以用一般12V输出800——1000MA的直流电流代替就O了。市场上有那种12V直流输出模块卖的,你去问问看。
输出电流不大,原来的串灯全去掉了!就在某一路上串十只LED,其它路空着。您是说不插220V电源,直接在电源输入端接上12V直流电源是吗?
为您推荐:
其他类似问题
您可能关注的内容
彩灯控制器的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。多路彩灯控制器的设计_百度文库
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
多路彩灯控制器的设计
阅读已结束,下载本文需要
想免费下载更多文档?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩14页未读,
定制HR最喜欢的简历
你可能喜欢设计一个8路彩灯控制器,彩灯实现按一定规律点亮和熄灭.控制要求如下:1.从左至右依次点亮,全亮后在从
设计一个8路彩灯控制器,彩灯实现按一定规律点亮和熄灭.控制要求如下:1.从左至右依次点亮,全亮后在从
用51单片机吧,如AT89S52程序给你一点提示:ORG 0000HAJMP MAINORG 0040HMAIN:p0=0p1=0……ljmp main其中:0xaa就是你要实现的花样输出,OXBB就是位控制,
我有更好的回答:
剩余:2000字
与《设计一个8路彩灯控制器,彩灯实现按一定规律点亮和熄灭.控制要求如下:1.从左至右依次点亮,全亮后在从》相关的作业问题
你是想用纯硬件做,还是单片机做?想把功能搞强大点那用单片机,可实现“任意节拍、任意花型”的流水灯且十分方便!
电压调整器件用正电压可调输出的线性稳压器LM317,按下图制作即可,整流二极管可以用1N7,输入电容Ci耐压要不低于16V(最好成绩用25V的),输出电容Co耐压要不低于10V(最好用16V),电容容量视输出电流而定,一百毫安以内用100μF即可,几百毫安就用1000μF的.比例电阻R1和R2的取值
这是一个比较简单比较容易实现的,哈!最简单方式去购一个茶社或旅馆的烧开水的加热器,只是在上再加一个85度的温控器和一套液面自动控制器再配二三只中间继电器就OK!一定要压力上水那就再到生资市场去购一只有触点的适合你所需压力的压力表一起加在这控制回路中就行了.
小球沿量筒下落,量筒内盛满油,球足够小,就可以观测很慢的匀速运动了.具体操作可以再详谈
答:在小烧杯内倒入一些酒精,在温度计的玻璃泡上蘸些酒精,然后取出,发现温度计的示数下降,说明了蒸发吸热.
根据磁场会对放入其中的磁性物质产生磁力的作用这一特点,来进行实验的相关设计:(1)所需器材:条形磁铁、弹簧测力计、小铁块、细线、铁架台.(2)实验步骤:用细线将小铁块拴在弹簧测力计上挂在铁架台上,用一条形磁铁由远及近地靠近小铁块,观察弹簧测力计示数的变化.(3)观察到的现象:条形磁铁靠铁块越近,弹簧测力计的示数越大.故
用控制变量法探究响度和振幅、距声源的远近的关系.在距声源一定时,改变刻度尺偏离原位置的大小,来感受声音的响度.将刻度尺的一端夹紧在抽屉缝里,用手指使刻度尺的伸出部分弯曲,一松手,刻度尺由于振动而发出声音,刻度尺弯得越厉害,松手后听到的声音就越强,反之就越弱.以上实验证明:“物体振动幅度越大,响度越大”
给你8个灯依次流动的电路图,
解题思路: 根据伏安法测电路的相关知识结合题目的具体条件分析求解。解题过程: 最终答案:见解答
你好彩了,我有张画紧的时候为了看初效果是拍下的图.不过只是初稿未完成的.而且是近似你的要求而已
用归纳法分析,第m个逗号前的3个数字为3m-2、3m-1、3m.第100个逗号前是300,300-99=201,答案为201.
一位数9个,9个数两位数90个,180个数三位数90一位数9个,9个数两位数90个,180个数三位数900个,2700个数888*3+3=-189)/3=826第826个三位数的最后一位826+99=925数字是50个,2700个数888*3+3=-189)/3=826第826个三位
从1到9,消耗3个逗号从10 11 12开始算,二位数从10 到99共 (99-10+1)×2 = 180位,消耗180/3=60个逗号.则还需三位数消耗 100 - 3 - 60 = 37个逗号因此第100个逗号前的数就是136 再问: 题目说得是一个数字,不是一个数! 再答: 哥们,第100个逗号前,到第99个逗号
#include&&stdio.h&bool&IsHws(long&l){long&a&=&l;long&b&=&0;while&(&a&&&0&)
能被11整除的数的特征 把一个数由右边向左边数,将奇位上的数字与偶位上的数字分别加起来,再求它们的差,如果这个差是11的倍数(包括0),那么,原来这个数就一定能被11整除.这个71位数的奇位上数的和是:1+3+5+7+9+(0+1+2+3+4+5+6+7+8+9)*3=160偶位上数的和是:2+4+6+8+1*10+2
一百个逗号前一共有300位数.1:9 9个各位数2:10~99 90个两位数 9+90×2=189个位数,还需要111个位数.111/3=37;3:从100开始需要37个三位数,那就是到136.100个逗号前面的数都在上面了,最后一个就是136! 再问: 为什么我同学在网上问是137呢? 再答: 我算错了 个位数加十位
100个逗号用数字:100×3=300300个去掉一位数和两位数剩下数字:300-9-(99-9)×2=111111个数字占用三位数字个数:111÷3=37从100起第37个三位数是:100+(37-1)=136
根据前面是否是0可以分成四类:abcd,0abc,00ab,000a.(a不是0),第一类:abcd,可从四位数abcd中找到;第二类:0abc,可从abc0,abc1中找到;第三类:00ab,可从ab00,ab01中找到;第四类:000a,可从a000,a001中找到;由此可得出现的最晚的4位数字组合是0000,需要

我要回帖

更多关于 八路彩灯控制器电路图 的文章

 

随机推荐