电平转换芯片 与 集成运放电路芯片

扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
3.3v和5v双向电平转换芯片
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口3.3v和5v双向电平转换芯片_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
文档贡献者
评价文档:
3.3v和5v双向电平转换芯片
单​片​机​硬​件​电​路​经​常​要​转​换.V​、.V​电​平​,​本​文​就​介​绍​这​方​面​的​东​西
大小:5.34KB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢集成运放芯片资料简介-电子器件知识电路图-电子产品世界
-&-&-&集成运放芯片资料简介
集成运放芯片资料简介
AD824 JFET输入,单电源,低电压,低功耗,精密四运算放大器 MC33171 单电源,低电压,低功耗运算放大器AD826 低功耗,宽带,高速双运算放大器 MC33172 单电源,低电压,低功耗双运算放大器AD827 低功耗,高速双运算放大器 MC33174 单电源,低电压,低功耗四运算放大器AD828 低功耗,宽带,高速双运算放大器 MC33178 大电流,低功耗,低噪音双运算放大器AD844 电流反馈型,宽带,高速运算放大器 MC33179 大电流,低功耗,低噪音四运算放大器AD846 电流反馈型,高速,精密运算放大器 MC33181 JFET输入,低功耗运算放大器AD847 低功耗,高速运算放大器 MC33182 JFET输入,低功耗双运算放大器AD8531 COMS单电源,低功耗,高速运算放大器 MC33184 JFET输入,低功耗四运算放大器AD8532 COMS单电源,低功耗,高速双运算放大器 MC33201 单电源,大电流,低电压运算放大器AD8534 COMS单电源,低功耗,高速四运算放大器 MC33202 单电源,大电流,低电压双运算放大器AD9617 低失真,电流反馈型,宽带,高速,精密运算放大器 MC33204 单电源,大电流,低电压四运算放大器AD9631 低失真,宽带,高速运算放大器 MC33272 单电源,低电压,高速双运算放大器AD9632 低失真,宽带,高速运算放大器 MC33274 单电源,低电压,高速四运算放大器AN6550 低电压双运算放大器 MC33282 JFET输入,宽带,高速双运算放大器AN6567 大电流,单电源双运算放大器 MC33284 JFET输入,宽带,高速四运算放大器AN6568 大电流,单电源双运算放大器 MC33502 BIMOS,单电源,大电流,低电压,双运算放大器BA718 单电源,低功耗双运算放大器 MC34071A 单电源,高速运算放大器BA728 单电源,低功耗双运算放大器 MC34072A 单电源,高速双运算放大器CA5160 BIMOS,单电源,低功耗运算放大器 MC34074A 单电源,高速四运算放大器CA5260 BIMOS,单电源双运算放大器 MC34081 JFET输入,宽带,高速运算放大器CA5420 BIMOS,单电源,低电压,低功耗运算放大器 MC34082 JFET输入,宽带,高速双运算放大器CA5470 BIMOS单电源四运算放大器 MC34084 JFET输入,宽带,高速四运算放大器CLC400 电流反馈型,宽带,高速运算放大器 MC34181 JFET输入,低功耗运算放大器CLC406 电流反馈型,低功耗,宽带,高速运算放大器 MC34182 JFET输入,低功耗双运算放大器CLC410 电流反馈型,高速运算放大器 MC34184 JFET输入,低功耗四运算放大器CLC415 电流反馈型,宽带,高速四运算放大器 MC35071A 单电源,高速运算放大器CLC449 电流反馈型,宽带,高速运算放大器 MC35072A 单电源,高速双运算放大器CLC450 电流反馈型,单电源,低功耗,宽带,高速运算放大器 MC35074A 单电源,高速四运算放大器CLC452 单电源,电流反馈型,大电流,低功耗,宽带,高速运算放大器 MC35081 JFET输入,宽带,高速运算放大器CLC505 电流反馈型,高速运算放大器 MC35082 JFET输入,宽带,高速双运算放大器EL2030 电流反馈型,宽带,高速运算放大器 MC35084 JFET输入,宽带,高速四运算放大器EL2030C 电流反馈型,宽带,高速运算放大器 MC35171 单电源,低电压,低功耗运算放大器EL2044C 单电源,低功耗,高速运算放大器 MC35172 单电源,低电压,低功耗双运算放大器EL2070 电流反馈型,宽带,高速运算放大器 MC35174 单电源,低电压,低功耗四运算放大器EL2070C 电流反馈型,宽带,高速运算放大器 MC35181 JFET输入,低功耗运算放大器EL2071C 电流反馈型,宽带,高速运算放大器 MC35182 JFET输入,低功耗双运算放大器EL2073 宽带,高速运算放大器 MC35184 JFET输入,低功耗四运算放大器EL2073C 宽带,高速运算放大器 MM6558 低电压,低失调电压,精密双运算放大器EL2130C 电流反馈型,宽带,高速运算放大器 MM6559 低电压,低失调电压,精密双运算放大器EL2150C 单电源,宽带,高速运算放大器 MM6560 低电压,低失调电压,精密双运算放大器EL2160C 电流反馈型,宽带,高速运算放大器 MM6561 低功耗,低电压,低失调电压,精密双运算放大器EL2165C 电流反馈型,宽带,高速,精密运算放大器 MM6564 单电源,低电压,低功耗,低失调电压,精密双运算放大器EL2170C 单电源,电流反馈型,低功耗,宽带,高速运算放大器 MM6572 低噪音,低电压,低失调电压,精密双运算放大器EL2175C 电流反馈型,宽带,高速,精密运算放大器 NE5230 单电源,低电压运算放大器EL2180C 单电源,电流反馈型,低功耗,宽带,高速运算放大器 NE5512 通用双运算放大器EL2224 宽带,高速双运算放大器 NE5514 通用四运算放大器EL2224C 宽带,高速双运算放大器 NE5532 低噪音,高速双运算放大器EL2232 电流反馈型,宽带,高速双运算放大器 NE5534 低噪音,高速运算放大器EL2232C 电流反馈型,宽带,高速双运算放大器 NJM2059 通用四运算放大器EL2250C 单电源,宽带,高速双运算放大器 NJM2082 JFET输入,高速双运算放大器EL2260C 电流反馈型,宽带,高速双运算放大器 NJM2107 低电压,通用运算放大器EL2270C 单电源,电流反馈型,低功耗,宽带,高速双运算放大器 NJM2112 低电压,通用四运算放大器EL2280C 单电源,电流反馈型,低功耗,宽带,高速双运算放大器 NJM2114 低噪音双运算放大器EL2424 宽带,高速四运算放大器 NJM2115 低电压,通用双运算放大器EL2424C 宽带,高速四运算放大器 NJM2119 单电源,精密双运算放大器EL2444C 单电源,低功耗,高速四运算放大器 NJM2122 低电压,低噪音双运算放大器EL2450C 单电源,宽带,高速四运算放大器 NJM2130F 低功耗运算放大器EL2460C 电流反馈型,宽带,高速四运算放大器 NJM2132 单电源,低电压,低功耗双运算放大器EL2470C 单电源,电流反馈型,低功耗,宽带,高速四运算放大器 NJM2136 低电压,低功耗,宽带,高速运算放大器EL2480C 单电源,电流反馈型,低功耗,宽带,高速四运算放大器 NJM2137 低电压,低功耗,宽带,高速双运算放大器HA-2640 高耐压运算放大器 NJM2138 低电压,低功耗,宽带,高速四运算放大器
你用 iPhone 吗?你用 Android 吗?你的手机里有电子设计用的软件吗?在 APP Store 或者安卓市场,搜索 DAKA,可以免费下载很多一流的电子工程师手机专业应用。
DAKA 电子设计 - 移动电子设计先锋
分享给小伙伴们:
微信公众号二
微信公众号一集成运放参数测试仪设计方案(2005年电子大赛一等奖)
标题:集成运放参数测试仪设计方案(2005年电子大赛一等奖)
集成运放参数测试仪设计方案(2005年电子大赛一等奖)
概述:本系统参照片上系统的设计架构、采用FPGA与SPCE061A相结合的方法,以SPCE061A单片机为进程控制和任务调度核心;FPGA做为外围扩展,内部自建系统总线,地址译码采用全译码方式。FPGA内部建有DDS控制器,单片机通过系统总线向规定的存储单元中送入正弦表;然后DDS控制器以设定的频率,自动循环扫描,生成高精度,高稳定的5Hz基准测量信号。扫频信号通过对30MHz的FPGA系统时钟进行分频和外部锁相环(FPGA采用FLEX10K10无内部锁相环)倍频,产生高频率稳定度、幅值稳定度的扫频信号。
放大器参数测量参照GB3442-82标准,低频信号幅度的测量采取AD高速采样,然后进行数字处理的方法;高频信号的幅度直接采用集成有效值转换芯片测得。A/D转换采用SPCE061A内部自带的10位AD。SPCE061A主要实现用户接口界面(键盘扫描、液晶显示、数据打印以及其他服务进程的调度)、AD转换以及测量参数(Vio Iio Kcmr Avd BWG Tr)计算、与上位机通信等方面的功能。上位机主要实现向下位机发送测量指令、与下位机交换测量数据、以及数据的存储、回放、统计。
一、方案比较设计与论证
(一)测量电路模块
1、测试信号源部分
  方案一:利用传统的模拟分立元件或单片压控函数发生器MAX038,可产生三角波、方波、正弦波,通过调整外围元件可以改变输出频率、幅度,但采用模拟器件由于元件分散性太大,即使用单片函数发生器,参数也与外部元件有关,外接电阻电容对参数影响很大,因而产生的频率稳定度较差、精度低、抗干扰能力差、成本也较高。
  方案二:采用锁相式频率合成方案。锁相式频率合成是将一个高稳定度和高精度的标准频率经过运算,产生同样稳定度和精确度的大量离散频率的技术,他在一定程度上满足了既要频率稳定精确,又要在大范围内变化的矛盾。但其波形幅度稳定度较差,在低频内波形不理想。
  方案三:采用DDS技术。DDS以Nyquist时域采样定理为基础,在时域内进行频率合成,其相位、幅度都可以实现程控,而且用FPGA来实现非常简单。
  在这里我们只需要一个5Hz的单一稳定频率,要求其频率,幅度稳定。综合考虑,我们采用方案三,实现了高精度,高稳定度的5Hz测试信号源。
2、主测试电路
  方案一:将测试放大器参数的实现分成4个电路检测。该方案实现各个参数的测量比较好,且有利于各个参数调试。但是对于要实现智能测试该方案较复杂,在电路中所用的继电器太多,很容易引起电磁干扰,不利于系统的整体性能提高,且不能实现电路的智能测试。
  方案二:采用一级运放。该电路经过仔细的分析会发现它设计的非常的巧妙调试也很方便,不会产生自激、饱和等情况。缺点就是对与精度较高的运算放大器该方案实现不了。
  方案三:采用试题中所给的电路。这是一个二级的电路,测试精度非常的高。但在调试中我们发现它很容易出现自激,为了使整个电路保持稳定,我们采取了一系列的稳定措施,如采用雕刻机雕刻线路,并实现大面积的接地,辅助运放加入补偿矫正网络等。
  综合上述,为了实现自动测量,保证测试有更高的精度,采用方案三。
3、信号放大电路
  方案一:采用普通的运算放大器放大电路。运算放大器放大电路成熟可靠,选用不同的运算放大器,能够对各种信号进行很好放大。但其放大值固定,不能动态调整,不便于处理大范围变化信号。
  方案二:采用程控可增益放大器。程控可增益放大器可用单片机方便的进行增益设定,十分有利于处理大动态范围信号。
  由于测量信号动态范围大,要有效的采样处理,就要求放大器增益可动态调整,由此我们选用方案二,采用可编程增益放大器AD625和数字电位器AD737组成程控增益放大器,实现对测量信号的有效放大。
4、滤波电路
  方案一:采用二阶切比雪夫低通滤波器或二阶巴特沃斯低通滤波器。切比雪夫 滤波器的幅度响应在通带内是在两值之间波动,在通带内波动的次数取决于滤波器的阶数。理想的在靠近截止频率的范围内比巴特沃斯有更接近矩形的频率响应。但这一点是一在频带内允许波动为代价的。巴特沃斯低通滤波器幅频响应是单调下降的,其N阶低通滤波器的前(2N-1)阶导数在频率为零处始终为零,故又称为最大平坦幅度滤波器。
  方案二:采用数字滤波。数字滤波有极大的灵活性,可以在不增加任何硬件成本的基础上对信号进行有效的滤波,而且可以实现模拟器件难以实现的高阶滤波。但要进行高效率的滤波,对AD采样要求有较高的采样速率和时实性,对单片机要求有较高的数据运算速度。
  方案三:采用模拟滤波器加数字滤波。先用模拟滤波器对信号进行简单的滤波处理,然后AD采样,进行数字滤波。这样既可以更加有效的对信号进行滤波,使有效信号更为纯净,便于后级数据处理,又降低了对ADC及单片机的要求,使得利用SPCE061A可以较轻松的实现
  在本题中,测量输出有效信号同样为5Hz,但伴有大量的高频及较严重的50Hz工频干扰,为了保持通带内有效信号的平坦性及纯净,我们选用方案三,模拟用二阶巴特沃斯低通滤波器,数字滤波采用有限冲击响应法设置了低通滤波器及50Hz陷波器。
(二)信号采集模块
  方案一:用AD736 RMS真有效值转换芯片,AD736的响应频率在0~10KHZ,采用该器件只需将被测的信号加到它的输入端上,就可以得到它的有效值,无需软件处理,测试非常的方便。但是我们在调试中现在AD736 在响应低频的时候不是很稳定,这样对整个系统会带来不稳定。因此我们没有选用这个方案。
  方案二:采用A/D转换,将模拟信号数字化,然后进行数据处理。 凌阳16位单片机内置有8路10位的A/D,运用起来非常的方便。无需外围的电路,转换精度也比较高,因此我们采用了方案二。
(三)用户接口模块
1、 显示方案:
  方案一:采用LED或字符型LCD显示。LED可以用移位寄存器74164或者专用芯片MAX7219驱动,字符型LCD也可以才用74LS164通过同步串口驱动。优点是控制比较简单,而且串行显示只占用很少的I/O口。但也有一个很大的缺点,只能显示一些简单的ASCII码字符,显示的信息量十分的有限,对于本系统较复杂的功能不太适合。
  方案二:采用点阵型LCD显示。点阵型LCD虽然占用的I/O口资源较多,控制也较复杂,但其功能却是强大的,显示信息量大,可以保证良好的用户模式。且我们在系统中用FPGA设计的总线方式,扩展了I/O资源,就无须考虑I/O资源的限制了。
经过综合考虑我们选择方案二,不需要很复杂的电路就可以实现并扩展非常强大的显示功能。
2、 键盘输入方案:
  方案一:采用7289芯片与键盘相结合,键盘的整个控制只需4条控制线。程序的编写也比较简单且容易同led显示接口。
  方案二:不使用任何专用芯片,用一块74LS138译码输出8路扫描信号,3路扫描返回信号线接I/O口输入(我们设计的是3*8的键盘)。这种设计方案电路设计非常的简单,但是软件的编写要考虑软件去抖等,会比较复杂而且占用大量的CPU资源。
  方案三:在FPGA内部构造一键盘扫描控制器,专门用以处理按键信息,并进行初步的处理(如键盘去抖),通过中断把键值发送给单片机。由于我们在FPGA内部已经建立了系统总线,扩展键盘非常简单。而且采用此方法外部硬件电路的设计也非常简单。
比较三者的优缺点我们选择了方案三,这样充分利用CPLD的功能硬件与软件设计都比较简单。 二、整机工作原理与功能实现
&图2-1-1 系统整体结构示意图&   
系统电路原理图如图5-3-1所示。通过继电器的切换实现四个基本参数和大量程的转换。测量开环放大倍数和共模抑制比的基准信号采用DDS合成技术产生(DDS合成控制器通过硬件编程在FPGA内部生成)。信号的幅值通过精密整流后的响应信号高速采样,再经过数字信号处理的方法获得。采样信号的幅值测量采用等精度测量方法,通过程控放大器将采样信号的幅度控制在1-3.3V之间,这样可以使小信号测量时有效位数增多,又克服了测量大信号量程不足的限制。
  -3dB带宽的测量,通过FPGA与外部锁相环对30MHz信号进行分频与倍频,产生高精确度的扫频信号,然后通过隔直电容加到被测放大器的同相输入端(放大器通过继电器切换接成单位增益组态),放大器的输出信号通过隔直电容加到有效值转换芯片的输入端。扫频信号从40kHz开始逐渐增大,同时通过AD检测有效值转换芯片的输出电压,当输出电压下降到原来的0.707倍时记下此时的频率值既是-3dB带宽截止频率。
  上升时间的测量,单片机向某一特定地址中写入任意值,启动上升时间测量功能。接着FPGA输出一阶跃信号给被测放大器(被测放大器也接成单位增益组态),同时启动高速计数,放大器的输出信号送给一比较电平设为0.9Vdd的高速比较器,当放大器输出端的信号增大到0.9Vdd时比较器输出高电平,FPGA内部计数器停止计数。如图2-1-2根据此计数值和计数时钟的频率便可以得到上升时间。 &&&&&&& &&&&&
& 图2-2-1无操作系统与有操作系统的区别   
设计到很多的硬件、软件及其混合的设计。采用操作系统的架构来组织,将非常有利于我们小组各个成员之间的协作开发。有的人专注于服务进程以及用户界面和数据处理,有人专注于FPGA系统总线和外围器件以及底层驱动程序的设计。
  Mini OS是一款拥有可裁剪、多任务的占先式内核的操作系统。它的任务调用及中断时间是可知道的,因此,采用Mini OS操作系统将大幅改善软件设计的环境,提高软件设计的规范。且该系统的底层模块完全采用汇编语言编写,然后采用操作系统调度的方法,很大程度上提高了系统的实时性和执行效率。如图2-2-2
图2-2-2 Mini OS 各进程示意图
(三)其他系统扩展
1、语音播报方案
  为了丰富人机的接口我们增加了语音的播报利用 凌阳SPCE061位单片机的语音处理功能。只须调用库函数即可以实现音频编程或自己录制语音资源就可以实现语音播放以及语音报警功能。
2、打印功能
  为了能够将测量数据打印出来,我们采用了TL58打印机,该打印机小型、轻便、我们使用并口打印,控制也非常的方便。它带国家一、二级字库,可以满足一般打印的需求。
3、串口通信功能
&&&  为了适应网络化的趋势,为了能够进行大批量的数据统计与分析我们设计了此与上位机进行通讯的串行数据接口。我们可以通过此串行接口,将一批运放的测量参数上传到上位机,然后进行统计分析,对这一批运放的性能参数给出有效的估计。这在实际的科研、生产中比只测量一两个放大器的参数具有更大的意义。我们还可以通过上位机控制下位机测量相应的参数,并在显示屏上显示下位机无法显示的参数(如波特图、对正弦信号相应的频谱)具有虚拟仪器的功能。
三、各子模块的设计
(一)输入电压4~40mV、输入电流0~4mA量程转换:
&&&  量程转换通过继电器和程控放大器相结合实现。继电器切换大量程;程控放大器切换小量程,最终将信号的幅度控制在1-3.3V之间,这样既可以使小信号测量时有效位数增多,又克服了测量大信号量程不足的限制;保证了测量的精度和范围,实现等精度测量。
图3-3-1&& DDS外围电路 (四)单位增益带宽测试:
  在该功能中需要40KHZ--4MHZ的扫频信号,我们通过FPGA和外部锁相环对30MHz的系统时钟进行程控分频和倍频生成,从而使产生的频率可以进行数字控制,而且极其稳定。为了测试放大器的截止频率,我们需要检测单位增益组态的放大器对扫频信号的响应情况。对于如此高频的信号我们不能采用A/D采样方法处理了,而是采用RMS真有效值转换的芯片,进过实验的测试我们最终选用AD637芯片。它的标定响应频率为6MHZ。我们对其进行了检测,该芯片完全符合我们的需求。
图3-1-1 程控放大器原理图(自动量程转换)
(二)静态参数与动态参数的测量:
  静态参数与动态参数的测量具有完全不同的特点,静态参数测量电路要考虑静态误差,系统稳定度等。动态参数测量电路要考虑电磁干扰以及高频信号的衰减补偿等。两个电路有着完全不同的设计方法和技术指标要求。我们在设计中采用两个电路分开设计的方法,在最后通过一个继电器实现两个电路的切换。
(三)5Hz 4V有效值正弦波的实现:
  5HZ 4V信号在电路中要多次用到,对该信号的稳定度有较高的要求,该信号的好坏直接关系到测量的精度。我们采用先进的DDS直接数字频率合成技术,产生高幅值稳定度和频率稳定度的信号。外围电路如图3-3-1所示:
图3-4-1锁相环外围及接口电路
图3-4-2 BWG测量电路
(五)自动测量功能的实现:
  我们采用了两大组继电器来控制电路状态,实现参数的自动测量。第一组为主测量电路部分,采用6个继电器实现四个基本参数的测量。由于测量BWG和Tr需要采用完全不同的电路,我们又加入两个继电器,很方便的实现两个电路的切换。示意图如图3-5-1:
(六)显示模块:
&&&  液晶显示采用金鹏的OCM4X8C型液晶显示模块,该模块是128&64点阵的汉字图形型液晶显示模块,可显示汉字及图形,内置国标GB2312码简体中文字库(16X16点阵)、128个字符(8X16点阵)及64X256点阵显示RAM(GDRAM)。可与CPU直接接口,提供两种界面来连接微处理机:8-位并行及串行两种连接方式。具有多种功能:光标显示、画面移位、睡眠模式等。
(七)键盘模块:
  原理如图3-7-1所示。键盘通过FPGA进行管理,当有键按下时,触发中断;去抖后将数据发送给单片机,单片机主服务进程接受按键值,然后根据按键值调度相应的进程。
&图3-7-1 键盘电路原理图
图3-7-2 键盘服务进程调度示意图 (八)、UART-PC机通讯:
  串口是计算机与外部设备进行数据交换的重要介质,所以串行通信在实际工程实现中有着广泛的应用。而Microsoft公司的VC++6.0功能强大,其基础类库(MFC)封装了WIN32 API中的标准通信函数,可方便的支持串口通信。在放大器参数测试仪的设计中,能够很方便地将放大器的各个参数数据显示并存储。
  我们运用了串口进行了下位机(单片机)与上位机(PC机)的通信。如图3-8-1上位机接收数据,显示数据界面。
图3-8-1上位机界面   二者通过RS-232串行口接收或上传数据和指令。传输介质为二芯屏蔽电缆,接线图如下图3-8-2所示:
图3-8-2& RS-232串行口接线图   
RS-232信号的电平和单片机串口的电平不一致,必须进行二者之间的电平转换。在此使用的集成电平转换芯片MAX232为RS-232C/TTL电平转换芯片。它只使用单+5V为其工作,配接4个1UF电解电容即可完成RS-232电平与TTL电平之间的转换。其原理图如下图所示,转换完毕的串口信号TXD、RXD直接和单片机SPCE061A相连接。
图3-8-3& MAX232外围原理图
四、理论计算及分析
图4-1-1&& 主测量电路原理图
(一)开环放大倍数的测量
  如图4-1-2继电器状态:K1,K2接地,K3,K4接通,K5接地,K6接信号输入端。整个电路构成一个大的环路负反馈,信号从R7端输入,根据虚短虚断的概念,因为R7上端与放大器的同相端相联,为地电位;所以节点OUT1的电位为: && ( )
又:&&&&&&&&& ( 为放大器U2的输出电压)
得:&&&&&&&&&&&&&&&&&&&&&
&图4-1-2 开环放大倍数测量原理图
(二)输入失调电压的测量
  继电器状态:K1,K2接地,K3,K4接通,K5,K6接地。如图 4-2-1
  K6接地,放大器U1的输出与放大器U2的同相端通过一电阻分压网络相连,而放大器U2的反相端接地。所以:
根据输入失调电压的定义:
&&&&&&&&&&&&&&&&&& ( )&&& &
图4-2-1 输入失调电压测试原理图
(三)输入失调电流的测量
  继电器状态:K1,K2接地,K3,K4断开,K5,K6接地。如图4-3-1
与上面相同有 ,所以有:
&&&&&&&&&&&&&&&&&&&&
&&&&&&&&&&&&&&&&&
图4-3-1 输入失调电流测试原理图
(四)共模抑制比的测量
  继电器状态:K1、K2接信号端,K3、K4闭合。K5,K6接地。如图4-4-1&运放应对共模信号有很强的抑制能力。表征这种能力的参数叫共模抑制比,用kCMR表示。它定义为差模电压增益AvD和共模电压增益Avc之比,即kCMR=∣AvD/Avc∣。
  测试原理如图35.5所示。由于RF&&RI,该闭环电路对差模信号的增益AvD= RF/RI。共模信号的增益AvC= (VO/VS)。因此,只要从电路上测出VO和VS,即可求出共模抑制比
&&& &&& KCMR=∣AvD/Avc∣= (RF/RI)o(VS/VO)
  KCMR的大小往往与频率有关,同时也与输入信号大小和波形有关。测量的频率不宜太高,信号不宜太大。
图4-4-1 共模抑制比测量原理图
(五) -3dB带宽F0
  继电器状态:K7断开,K4闭合,K2接信号端;被测放大器构成单位增益状态。K9接OUT1将单位增益状态的放大器信号输出。
-3dB带宽的测量,通过FPGA与外部锁相环对30MHz信号进行程控分频与倍频,产生高精确度的扫频信号,然后通过隔直电容加到被测放大器的同相输入端(放大器通过继电器切换接成单位增益组态),放大器的输出信号通过隔直电容加到有效值转换芯片的输入端。扫频信号从40kHz开始逐渐增大,同时通过AD检测有效值转换芯片的输出电压,当输出电压下降到原来的0.707倍时记下此时的频率值既是-3dB带宽截止频率。
(六)转换速率(SR)和上升时间的测量
  脉冲响应时间包括上升时间,下降时间、延迟时间、和脉动时间等。测试电路仍然采用以上电路,继电器状态K7断开,K4闭合,K2接信号端;被测放大器构成单位增益状态。K9接OUT1将单位增益状态的放大器信号输出。读取响应时间方法如下图所示。其中tr为上升时间,tf为下降时间,td(r)为上升延迟时间,td(f)为下降延迟时间。在单片机的控制下,FPGA发出一阶跃信号,同时触发高速计数,通过一高速比较器检测放大器的输出状态,当上升到0.9Vdd时锁存计数值,同时触发中断,将计数值送给单片机。单片机根据此计数值和计数频率便可以计算出上升时间。
图4-6-1& Tr示意图
五、电路图及有关设计文件
(一)电源电路:
为了保证足够的电源供应,我们制作了一个有&5V、&12V、&15V、0~30V可调的电压源。 图5-1-1、图5-1-2是原理图
图5-1-1& 电源电路
&图5-1-2 电源电路
(二)单片机、FPGA系统板电路:
图5-2-1 单片机、FPGA系统图   
SPCE061A单片机与FPGA为基本系统。数控部分采用SPCE061A同FPGA相结合,61单片机内置有32K Flash 存储和2K的RAM、8通道的10位A/D、10位D/A。我们用VHDL为FPGA编写了一个的总线控制器扩展SPCE061A的I/O端口。
(三)测量电路
图5-3-1&& 测量电路原理图
(四)精密整流电路
  Vo1=0&&&& (Vi )
  Vo1=-Vi&& (Vi&= 0)&&&&&&&&&& (1)
  运放二构成反相加法器,其输入为Vi和Vo1,所以有
  Vo=-Vi-2Vo1&&&&&&&&&&&&&&&&& (2)
  将其带入式(1)中有:
  Vo1=-Vi&&& (Vi )
  Vo1=+Vi&&& (Vi &=0)
图5-4-1 精密整流电路原理图
(五)低通滤波电路
&&& 我们在这里设计了个 =30Hz 的有源低通滤波电路。并通过继电器控制它的通断,我们把 设计在30HZ主要是保护5HZ的信号不被衰减,也可以让50HZ及以上的干扰信号进行衰减。图2-2-6这些电路我们都是用Muilisim2001 软件进行严格的仿真及论证。在1~5ZH信号是960mV ,50Hz的信号是187mV(输入的交流信号在1V)
图5-5-1& 低通滤波原理图
图5-5-2& 5HZ低通滤波电路仿真图
六 、系统测试   测量环境 : 24℃
  日&&& 期 : 日
  测试仪器 : 电源:WD990
        示波器 TDS2012
        信号发生器 GFG-8255A
        数字万用表 FLUKE175
        失真度测量仪 ZQ4126
        交流毫伏表 HG2170
     &&&&& PC P41.7G 128M内存
        仿真器:SPCE061A PROBE;EL EDA   测试数据: 表 6-1测试数据
集成运算放大器参数测试数据一览表
输入失调电压
输入失调电流
共模抑制比
开环放大倍数
单位增益带宽积
抗干扰措施:
  系统要测量信号非常微弱,最小数量级可达PA级,增益高,非常容易受干扰和产生自激。因此抗干扰措施必须做的很好,才能避免自激,减小噪声,提高测量精确度。通过理论分析和实验,我们采用下述方法减小干扰,避免自激。
  1.&将系统测量电路放入屏蔽盒中进行电磁屏蔽,避免空间高频电磁干扰,和工频干扰。
  2.&模数隔离。由于数字电路有非常大的高频对地干扰,非常容易对模拟电路产生影响。在电路板制作中我们采用了模拟地数字地一点接地。
  3.&由于主测量电路工作在高增益状态下,极易产生自激,使得测量无法进行。为消除自激,我们对辅助运放加上相位矫正网络,在靠近两运放处对正负供电进行电源去耦。去耦电容采用一大一小:大的选用漏电流较小的钅旦电解电容,小的采用具有优良高频特性的cbb电容。这些有效的保证了电路的稳定。
  4.电源隔离。由于系统要有 供电,其中继电器的开关噪声非常大,实际示波器测量可看到瞬间峰值可达1V,我们采用了完全的独立电源供电,有效减小对主测量电路的影响。
  基本完成了系统基本及发挥部分的要求,在某些方面性能有极大的提高,大大超过了要求。但由于时间紧张等原因,整个系统还存在着设计简陋,测量精度不是很高等问题。由于系统采用了模块化设计,系统还有很大的升级扩展空间。经过进一步的完善,完全可以应用于实际测量中。
参考文献:
  1 电子电路设计与实践. 姚福安编著. 山东省科学技术出版社.2001
  2 MCS-51单片微型计算机原理与接口技术. 东北大学出版社.1994
  3 全国大学生电子设计竞赛组委会. 第四届全国大学生电子设计竞赛获奖作品选编.
  4 凌阳16位单片机基础原理与应用.&& 北航出版社
  5 计算机控制系统.&& 机械工业出版社
  6 电子系统设计.&& 浙江大学出版社
  7模拟集成电路应用.&& 山东大学出版社
  8 VHDL数字电路设计应用实践教程.&& 机械工业出版社
  9 FPGA设计及应用.&& 西安电子科技大学出版社&
  10 VC++6.0应用设计及提高&& 电子工业出版社
本文引用通告地址:
| 浏览(984) 引用(0)
如果您想出现在此位置,请您先【】!
Copyright (C)2000-.cn

我要回帖

更多关于 集成运放电路 的文章

 

随机推荐