quartus ii win7无法编译 ful...

后使用快捷导航没有帐号?
查看: 2107|回复: 1
9.0编译无法进行
在线时间12 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 40, 距离下一级还需 160 积分
一粒金砂(中级), 积分 40, 距离下一级还需 160 积分
q2&&9.0 无法编译&&错误显示如下& &怎样才能解决?
Internal Error: Sub-system: AMERGE, File: /quartus/atm/amerge/amerge_kpt_op.cpp, Line: 220
cmp_merge_kpt_db
Stack Trace:
0x3DB87& &: amerge_mini_merge + 0x3A7A7 (atm_amerge)
Quartus II Version 9.0 Build 184 04/29/2009 SJ Full Version
Service Pack Installed:&&1
在线时间490 小时
威望9087 分
芯币12352 枚
TA的帖子TA的资源
五彩晶圆(高级), 积分 9087, 距离下一级还需 913 积分
五彩晶圆(高级), 积分 9087, 距离下一级还需 913 积分
重新启动软件,再编译即可
一个为理想不懈前进的人,一个永不言败人!
欢迎光临网上店铺!
Powered by
逛了这许久,何不进去瞧瞧?“ 畅学电子 ” 一键关注,轻松学习电子知识畅学电子网·订阅号每天更新电子行业技术文章,及单片机最新资讯,随时随地轻松学习下面是我收集整理的一些,有些是自己的经验,有些是网友的,希望能给大家一点帮助。1.Found clock-sensitive change during active clock edge at time &time& on register &&name&&原因:vector source file中时钟敏感信号(如:数据,允许端,清零,同步加载等)在时钟的边缘同时变化。而时钟敏感信号是不能在时钟边沿变化的。其后果为导致结果不正确。措施:编辑vector source file2.Verilog HDL assignment warning at &location&: truncated value with size &number& to match size of target (&number&原因:在HDL设计中对目标的位数进行了设定,如:reg[4:0]而默认为32位,将位数裁定到合适的大小措施:如果结果正确,无须加以修正,如果不想看到这个警告,可以改变设定的位数3.All reachable assignments to data_out(10) assign '0', register removed by optimization原因:经过综合器优化后,输出端口已经不起作用了4.Following 9 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results原因:第9脚,空或接地或接上了电源措施:有时候定义了输出端口,但输出端直接赋‘0’,便会被接地,赋‘1’接电源。如果你的设计中这些端口就是这样用的,那便可以不理会这些warning5.Found pins functioning as undefined clocks and/or memory enables原因:是你作为时钟的PIN没有约束信息。可以对相应的PIN做一下设定就行了。主要是指你的某些管脚在电路当中起到了时钟管脚的作用,比如flip-flop的clk管脚,而此管脚没有时钟约束,因此QuartusII把“clk”作为未定义的时钟。措施:如果clk不是时钟,可以加“not clock”的约束;如果是,可以在clock setting当中加入;在某些对时钟要求不很高的情况下,可以忽略此警告或在这里修改:Assignments&Timing analysis settings...&Inpidual clocks...&...注意在Applies to node中只用选择时钟引脚一项即可,required fmax一般比所要求频率高5%即可,无须太紧或太松。6.Timing characteristics of device EPM570T144C5 are preliminary原因:因为MAXII 是比較新的元件在 QuartusII 中的時序並不是正式版的,要等 Service Pack措施:只影响 Quartus 的 Waveform7.Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled措施:将setting中的timing Requirements&Option--&More Timing Setting--&setting--&Enable Clock Latency中的on改成OFF8.Found clock high time violation at 14.8 ns on register &|counter|lpm_counter:count1_rtl_0|dffs[11]&原因:违反了steup/hold时间,应该是后仿真,看看波形设置是否和时钟沿符合steup/hold时间措施:在中间加个寄存器可能可以解决问题9.warning: circuit may not operate.detected 46 non-operational paths clocked by clock clk44 with clock skew larger than data delay原因:时钟抖动大于数据延时,当时钟很快,而if等类的层次过多就会出现这种问题,但这个问题多是在器件的最高频率中才会出现措施:setting--&timing Requirements&Options--&Default required fmax 改小一些,如改到50MHZ10.Design contains &number& input pin(s) that do not drive logic原因:输入引脚没有驱动逻辑(驱动其他引脚),所有的输入引脚需要有输入逻辑措施:如果这种情况是故意的,无须理会,如果非故意,输入逻辑驱动.11.Warning:Found clock high time violation at 8.9ns on node 'TEST3.CLK'原因:FF中输入的PLS的保持时间过短措施:在FF中设置较高的时钟频率12.Warning: Found 10 node(s) in clock paths which may be acting as ripple and/or gated clocks -- node(s) analyzed as buffer(s) resulting in clock skew原因:如果你用的 CPLD 只有一组全局时钟时,用全局时钟分频产生的另一个时钟在布线中当作信号处理,不能保证低的时钟歪斜(SKEW)。会造成在这个时钟上工作的时序电路不可靠,甚至每次布线产生的问题都不一样。措施:如果用有两组以上全局时钟的 FPGA 芯片,可以把第二个全局时钟作为另一个时钟用,可以解决这个问题。13.Critical Warning: Timing requirements were not met. See Report window for details.原因:时序要求未满足,措施:双击Compilation Report--&Time Analyzer--&红色部分(如clock setup:'clk'等)--&左键单击list path,查看fmax的SLACK REPORT再根据提示解决,有可能是程序的算法问题或fmax设置问题14.Warning: Can't find signal in vector source file for input pin |whole|clk10m原因:这个时因为你的波形仿真文件( vector source file )中并没有把所有的输入信号(input pin)加进去,对于每一个输入都需要有激励源的15.Can't achieve minimum setup and hold requirement &text& along &number& path(s). See Report window for details.原因:时序分析发现一定数量的路径违背了最小的建立和保持时间,与时钟歪斜有关,一般是由于多时钟引起的措施:利用Compilation Report--&Time Analyzer--&红色部分(如clock hold:'clk'等),在slack中观察是hold time为负值还是setup time 为负值,然后在:Assignment--&Assignment Editor--&To中增加时钟名(from node finder),Assignment Name中增加和多时钟有关的Multicycle 和Multicycle Hold选项,如hold time为负,可使Multicycle hold的值&multicycle,如设为2和1。16: Can't analyze file -- file E://quartusii/*/*.v is missing原因:试图编译一个不存在的文件,该文件可能被改名或者删除了措施:不管他,没什么影响17.Warning: Can't find signal in vector source file for input pin |whole|clk10m原因:因为你的波形仿真文件( vector source file )中并没有把所有的输入信号(input pin)加进去,对于每一个输入都需要有激励源的18.Error: Can't name logic function scfifo0 of instance &inst& -- function has same name as current design file原因:模块的名字和project的名字重名了措施:把两个名字之一改一下,一般改模块的名字19.Warning: Using design file lpm_fifo0.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info: Found entity 1: lpm_fifo0原因:模块不是在本项目生成的,而是直接copy了别的项目的原理图和源程序而生成的,而不是用QUARTUS将文件添加进本项目措施:无须理会,不影响使用20.Timing characteristics of device &name& are preliminary原因:目前版本的QuartusII只对该器件提供初步的时序特征分析措施:如果坚持用目前的器件,无须理会该警告。关于进一步的时序特征分析会在后续版本的Quartus得到完善。21.Timing Analysis does not support the analysis of latches as synchronous elements for the currently selected device family原因:用analyze_latches_as_synchronous_elements setting可以让Quaruts II来分析同步锁存,但目前的器件不支持这个特性措施:无须理会。时序分析可能将锁存器分析成回路。但并不一定分析正确。其后果可能会导致显示提醒用户:改变设计来消除锁存器,但实际其实无关紧要22.Warning:Found xx output pins without output pin load capacitance assignment原因:没有给输出管教指定负载电容解决方法:该功能用于估算TCO和功耗,可以不理会,也可以在Assignment Editor中为相应的输出管脚指定负载电容,以消除警告& & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & &如何分享到朋友圈点击右上角
在弹出菜单选择
分享到朋友圈如何关注 & 畅学电子 &1. 在微信上点击右上角”+& 点击“添加朋友& → 在&查找公众号&里搜索& 畅学电子 &即可查找并关注2. 搜索微信号& 畅学电子 &也可查找并关注我们如何查看往期的历史消息点击右上角图标进入&账号资料& → &查看历史消息&畅学电子订阅号微信名:畅学电子每天更新电子行业各种知识,及单片机最新资讯,来开启你的视觉盛宴吧畅学电子服务号微信名:畅学电子一个新型的知识分享平台,在线查看畅学电子网的最新文章、在线视频等,带你进入电子工程师技术开发学习的世界==& 前往
学习更多知识!畅学电子(changxuedianzi) 
 文章为作者独立观点,不代表微头条立场
的最新文章
关于MOSFET很多人都不甚理解,这次小编再带大家仔细梳理一下,也许对于您的知识系统更加全面。下面是课程详情:
本课程主要通过典型实例的形式,以51单片机的系统设计为主线,结合软件和硬件,由浅入深、电容器既是最常用的电器元件。也是容易损坏的电器元件,在没有特殊仪表仪器的情况下检测电容器的好坏,可用以几种方关于畅学课程:畅学电子网是一个专业电子工程技术学习社区,是一个电子工程师网络在线课堂。课程内容涵盖单片机、嵌电子电路中的运算放大器,有同相输入端和反相输入端,输入端的极性和输出端是同一极性的就是同相放大器,而输入端的我们先看网上流传的PID参数整定口诀:
参数整定找最佳,从小到大顺序查
先是比例后积分,最后再把为了方便大家更好的学习,畅学电子网特别增加了针对单片机和EDA的公众号,每天推送相关知识,希望能对你的学习有课程详情:
本课程主要通过典型实例的形式,以MSP430单片机的系统设计为主线,结合软件和硬件,由浅一、地线的作用地线的主要作用就是当电器出现故障时,电源可能击穿(或:破坏)某些元件,使电器的外壳带电。将电器课程详情:
本课程主要通过典型实例的形式,以51单片机的系统设计为主线,结合软件和硬件,由浅入深、通关于畅学课程:畅学电子网是一个专业电子工程技术学习社区,是一个电子工程师网络在线课堂。课程内容涵盖单片机、嵌对于可控硅电路控光来说,只能对接白瓷灯等可调光的设备。但在实际设计中,很多情况要求设计者必须将可控硅电路与L作为一名电子工程师,对于电路不说必须要非常精通,但至少能够看得懂电路,知道电路保护器件的作用,在客户提出防护关于畅学课程:畅学电子网是一个专业电子工程技术学习社区,是一个电子工程师网络在线课堂。课程内容涵盖单片机、嵌课程详情:
《畅学CAN模块由入门到实战教程》由畅学电子专业的电子工程师团队设计规划,并独家录制。本电子工程师必备基础知识(一)运算放大器通过简单的外围元件,在模拟电路和数字电路中得到非常广泛的应用。运算放大电路图符号大全,(初学者必了解)一、调用方法X=FFT(x);X=FFT(x,N);x=IFFT(X);x=IFFT(X,N)用MATLAB课程详情:
《畅学PCB板设计速成教程》由畅学电子专业的电子工程师团队设计规划,并独家录制。本课程将电烙铁是在电子制作时总少不了得心应手的工具。你是否对自己的“烙铁头”经常被氧化而感到烦恼?我想回答是肯定的!在使用MOS管设计开关电源或者马达驱动电路的时候,大部分人都会考虑MOS管的导通电阻、最大电压、最大电流等,为了方便大家更好的学习,畅学电子网特别增加了针对单片机和EDA的公众号,每天推送相关知识,希望能对你的学习有课程详情:
《RS-485通信模块实战教程》由畅学电子专业的电子工程师团队设计规划,并独家录制。本课PID就是比例微积分调节,具体你可以参照自动控制课程里有详细介绍!正作用与反作用在温控里就是当正作用时是加热测试开关电源或在实验中有听到类似产品打高压不良的漏电声响或高压拉弧的声音不请自来:其声响或大或小, 或时有时下面是对场效应管的测量方法场效应管英文缩写为FET。可分为结型场效应管(JFET)和绝缘栅型场效应管(MOS为了方便大家更好的学习,畅学电子网特别增加了针对单片机和EDA的公众号,每天推送相关知识,希望能对你的学习有课程详情:
《畅学16*64单红色点阵模块》由畅学电子专业的电子工程师团队设计规划,并独家录制。本课课程详情:
《NRF905无线收发模块实战教程》由畅学电子专业的电子工程师团队设计规划,并独家录制。在我们设计单片机电子电路时,常用应用到一下比较常用的电路,每次都需要从新画,即费力又费神,还容易出错,所以本课程详情:
《MMA7455数字三轴加速度传感器模块实战教程》由畅学电子专业的电子工程师团队设计规划1、零序电流保护的各段保护范围是如何划分的?零序电流I段躲过本线路末端接地短路流经保护的最大零序电流整定;不现在数字式万用表已经是很普及的电子测量工具了,因其使用方便和准确性受到电子技术人员的喜爱。但常常有人说在测量为了方便大家更好的学习,畅学电子网特别增加了针对单片机和EDA的公众号,每天推送相关知识,希望能对你的学习有AND 与门ANTENNA 天线BATTERY 直流电源BELL 铃,钟BVC 同轴电缆接插件BRIDEG 稳压二极管(又叫齐纳二极管),在电路图中常用“ZD”加数字表示,如:ZD5 表示编号为5的稳压管。此二极管是二极管电路中整流二极管的应用最为普遍。所谓整流二极管就是专门用于电源电路中将交流电转换成单向脉动直流电的二极为了方便大家更好的学习,畅学电子网特别增加了针对单片机和EDA的公众号,每天推送相关知识,希望能对你的学习有课程详情:
本课程主要通过典型实例的形式,以STM32单片机的系统设计为主线,结合软件和硬件,由浅电动机的空载电流一般为额定电流的30%以下鼠笼电机:一般情况使额定电流的三分之一。在电机功率很小时,有时候电关于畅学课程:畅学电子网是一个专业电子工程技术学习社区,是一个电子工程师网络在线课堂。课程内容涵盖单片机、嵌接线的时候切记不要裸露太多的导线在外面,以免导线接触到形成短路,上图提供了两种接线方法,一种是当您不需要开关课程详情:
《畅学智能循迹小车实战教程》由畅学电子专业的电子工程师团队设计规划,并独家录制。本课程主一、H桥驱动电路图4.12中所示为一个典型的直流电机控制电路。电路得名于“H桥驱动电路”是因为它的形状酷似字用一个按键开关控制单片机,也就是说一键开关机,从哪里入手?
1.按下K,电源通过4007为整个系统供电,A双向可控硅是一种功率半导体器件,也称双向晶闸管,在单片机控制系统中,可作为功率驱动器件,由于双向可控硅没有反其实单片机开发就跟人的一生一样,起起伏伏,各种意外,不信你看:某天某日某产房,你诞生了(power up ,1、基本概念二极管由管芯、管壳和两个电极构成。管芯就是一个PN结,在PN结的两端各引出一个引线,并用塑料、玻一.开关电源的工作原理开关电源就是采用功率半导体器件作为开关元件,通过周期性通断开关,控制开关元件的占空比来课程详情:
本课程主要通过典型实例的形式,以51单片机的系统设计为主线,结合软件和硬件,由浅入深、changxuedianzi谢谢关注畅学电子网,让我们一起开启知识的大门吧!热门文章最新文章changxuedianzi谢谢关注畅学电子网,让我们一起开启知识的大门吧!随笔 - 145
评论 - 384QuartusII_调用ModelSim仿真_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
QuartusII_调用ModelSim仿真
上传于||文档简介
&&F​P​G​A​第​三​方​仿​真​软​件​的​教​程
阅读已结束,如果下载本文需要使用2下载券
想免费下载本文?
下载文档到电脑,查找使用更方便
还剩4页未读,继续阅读
你可能喜欢Quartus II 版本问题解决方案
Quartus II 版本问题解决方案
  在做一个项目时,发现该项目(主要才用原理图设计)可以在Quartus 9.0版本上编辑,但是无法编译通过,表现在会提示一个弹窗的错误,选择no可以继续进行,结束时也没有提示error,但是并没有正常编译通过。因此也就无法进行modelsim仿真,表现为每一次modelsim的结果都是一样的。
解决方案:  
  此时将整个项目关闭,用11.0版本打开,不要修改,直接编译就可以通过,没有错误出现。此时,已可进行正常的仿真。同时,使用9.0打开该项目也可以进行仿真了。
  估计这个原因是,在9.0编译时,某些文件没有生成,所以无法仿真出结果。
  在选定具体芯片后,出现错误,例如芯片选为Cyclone II EP2C35F672C6,出现调用的RAM模块错误。如下:
当芯片选择为EP2C35F672C6时,发现直接编译通不过,出现一些关于8051Core模块内部调用的RAM模块LPM_RAM_DQ的错误信息“this mode is not supported in Cyclone II device family in this version of Quartus II software. Please refer to the Cyclone II FPGA Family Errata Sheet for more information on this feature”
解决方案:
  打开工程设置,定位到选项卡左侧的“ASSIGNMENTS | SETTING | ANALYSIS&SYNHESIS”页;然后在默认参数设置(SETTINGS_DEFAULT PATAMETERS)中添加一个名(NAME)为 CYCLONEII_SAFE_WRITE、值(DEFAULT SETTING)为VERIFIED_SAFE的参数;
如下图所示:
  这可能是因为,原理图设计是,调用的ram模块不是对于的具体的某一款芯片,或者原设计是采用Cyclone I型芯片,现在要应用在Cyclone II上。
我的热门文章
即使是一小步也想与你分享

我要回帖

更多关于 quartus ii win7 的文章

 

随机推荐