线阵ccd的工作原理输出信号时同一时刻的吗?

君,已阅读到文档的结尾了呢~~
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
线阵CCD输出信号的处理方法探讨
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer--144.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口  128×64LCD液晶显示模块是专门用于字母、数字、符号输出显示。体积小,可以实时显示待测工件的直径。该显示模块的工作电压为5V,与K60系统板的电压相同。   USB接口可完成K60系统版和计算机的信息传输,通过USB接口,计算机可以读取系统采集到的128个像素点,并根据像素值在计算机端实时动态显示待测工件的曲线图。通过曲线图,可了解工件的边缘信息,观察出工件的动态变化情况。   4 系统软件设计流程   系统的软件设计对于整个系统至关重要。通过软件设计,可对图像的128个像素点进行数据处理,分析得到待测工件的边沿信息,从而得出物件直径的尺寸。整个系统的主程序流程图如图3所示。   在此过程CCD驱动程序模块是整个系统中数据采集中最为关键的部分。TSL1401线性CCD的驱动程序只需要控制它的CLK和SI两个引脚就可以让A0端口依次输出128个像素的模拟信号。当SI端口变为高电平且CLK端口遇到上升沿时A0端口将开始输出信号。根据文献[6]中叙述的线阵CCD的驱动代码如下。   void ccd(void)   {   siu.pcr[27].r =0x0200;   siu.pcr[29].r=0x0200;   siu.pgpdp[0].r & = ~0x;   siu.pgpdp[0].r |=0x;   delay();   siu.pgpdp[0].r |=0x;   delay();   siu.pgpdp[0].r & =~0x;   delay();   siu.pgpdp[0].r & =~0x;   delay();   for (i=0;i<128;i++)   {   delay();   siu.pgpdp[0].r |=0x;   adc.mcr.b.nstart ==1;   while(adc.mcr.b.nstart ==1)   adcdata =adc.cdr[0].b.cdata;   delay();   siu.pgpdp[0].r |=0x;   Result[1] = (uint8_t)(adcdata >> 2);   }   delayccd();   }   本系统代码采用的编译软件为IAR。在显示模块部分,为了便于K60系统板上的切换不同的显示器来验证各种显示器的输出质量,因此加了一个宏定义,便于在试验阶段对于显示器的跟换以此选择合适的显示模块。   5 总结   本文介绍了基于ARM的线阵CCD非接触直径测量系统的组成、原理及软件设计流程。通过读取待测工件128个像素点的数据,对边沿数据进行分析,利用算法计算出工件的直径尺寸等信息。本系统不仅可以工件的直径,还可以测量工件的长度、厚度等信息,可广泛应用于各种非接触式测量尺寸等场合。   参考文献:   [1] 胡胜,杨雷,宋跃,等.基于ARM&FPGA的CCD图像识别装置[J]. 仪表技术与传感器,2012(1):54-56.   [2] 张爱雪,孟樱.基于ARM和FPGA的线阵CCD测径系统设计[J].电子技术应用,):82-84.   [3] 于之靖,刘月林,诸葛晶昌.高精度双线阵CCD非接触直径测量系统[J].传感器与微系统,):120-122.   [4] 梁冰,易茂祥,颜天信.高性能线性CCD开发平台的研制[J]. 合肥工业大学学报,):.   [5] 任慧建,殷兴辉. 基于FPGA的高速线阵CCD图像采集系统[J]. 电子测量技术,):33-36.   [6] 芜湖蓝宙电子.蓝宙电子线阵CCDⅢ说明书[EB/OL].http:///forum-128-1.html.
您可能也喜欢这些您现在的位置:> > >
硅谷杂志:基于CPLD的TCD1501D型线阵CCD的驱动设计
  硅谷网7月22日消息 (原文载于《硅谷》杂志)结合原理图和硬件描述介绍基于CPLD的线阵CCD的驱动设计,对TCD1501D的工作原理和结构进行说明,硬件设计易于修改,缩短驱动系统的调试周期。
  电荷耦合元件CCD目前广泛应用于图像传感和光谱测量、尺寸测量等领域,有着高分辨率、高精度、高灵敏度、高稳定性、低功耗等特点。CCD分为线阵CCD器件和面阵CCD器件,与面阵CCD器件相比,线阵CCD器件虽然图像获取时间长,测量效率低,但能得到比面阵CCD器件更高的分辨率和精度,在精密测量方面的动态测量范围大、灵敏度和频率响应高。由于不同型号的CCD及其驱动时序不同,其性能指标受到厂家工艺水平和生产技术的限制,使得CCD及其驱动电路难以达到规范化、产品化。因此,在高精度线阵CCD应用系统的关键在于如何完成CCD驱动电路的设计。
  以往多采用单片机驱动法、EPROM驱动法、IC驱动法等偏重硬件的方式来完成CCD驱动过程,这些方法存在着资源浪费、灵活性低、调试困难的缺欠。而利用可编程逻辑器件CPLD驱动法实现的系统具有集成度高、可靠性好、便于编程实现等特点,可减少使用的逻辑门数,减少连线间的干扰,减少设计费用。本文以TCD1501D为例阐述了CPLD驱动方法实现CCD驱动的原理和方法。
  1TCD1501D工作的基本原理
  TCD1501D器件有5000个有效像元,具有高灵敏度、低暗电流和较大的动态范围等特点,内置采样保持电路,驱动电压为5V。该芯片正常工作时需提供6路驱动脉动,分别是转位脉冲SH,复位脉冲RS、采样脉冲SP和钳位脉冲CP,以及两相时钟信号&P1、&P2,OS和DOS分别是信号输出和补偿输出。TCD1501D器件有5076个光电二极管形成的像元输出信号,有效信号为5000个,其中前64个和后12个光电二极管是作为哑元输出信号,转位脉冲SH是控制信号,它的低电平至少需要5076个脉宽时间。TCD1501D是两行并行、分奇偶输出器件,一个SH有效脉冲周期中至少需要2538个&P1或&P2信号才能保证脉冲信号的输出完整。
  2TCD1501D驱动时序设计
  在驱动时序电路的设计中,由于独立脉冲源所产生的脉冲频率可以自由选择,因此可以采用独立脉冲源,通过分频电路来产生同步脉冲。复位脉冲RS是使输出扩散二极管复位,典型频率为1MHZ,脉冲占空比为1:3,RS与钳位脉冲CP在时钟的统一驱动下,必须保持严格的同步关系,钳位脉冲CP的典型频率也是1MHZ,脉冲的最小宽度为20ns,因此需要一个JK触发器将CLK进行二分频,使RS和CP获得所需要的同一频率。同时考虑到复位脉冲RS滞后钳位脉冲CP的时间要满足t10,查询表1可以看出t10的典型值为20ns,为得到RS与CP之间20ns的延时关系,采用两个mcell单元的延迟来满足设计需要。采用CLK为16MHz的脉冲作为输入脉冲,产生时序脉冲的VerilogHDL语言如下:
  entityalisport(
  clk:instd_
  rs,cp,sp,fail:outstd_
  data_out:outstd_logic_vector(3downto0)
  architecturebehavorofalis
  process(clk)
  variableCQI:std_logic_vector(3downto0)
  ifclk&#39;eventandclk=&1&then
  CQI:=CQI+1;
  if(CQI&=2andCQI&=4)thensp&=&1&;
  elsesp&=&0&;
  if(CQI&=5andCQI&=10)thenrs&=&1&;
  elsers&=&0&;
  if(CQI&=11andCQI&=15)thencp&=&1&;
  elsecp&=&0&;
  ifCQI&15thenCQI=&0000&;
  data_out&=CQI;
  fai&=CQI(3);
  时钟脉冲&P1、&P2(相位差为180度)的典型频率为0.5MHZ,在&P1、&P2并行转移时要求有一个大于SH=1持续时间的宽脉冲来避免MOS电容中信号电荷包的电极转移不完全的情况。转位脉冲SH是使MOS电容中的信号电荷转移到移位寄存器中的转移栅控制脉冲,它的下降沿开始积分时间操作,上升沿则表示结束积分时间操作。因为CLK信号通过二分频得到RS信号,经过四分频得到了&P信号,SH周期至少是&P信号的2538倍,于是CLK信号通过5076次分频后得到了SH信号。产生SH的VerilogHDL语言如下:
  sh:progress(clk)
  variablecount:tntegerrange0
  to6000:=0
  if(clkeventandclk=&1&)then
  count:=count+1;
  if(count&0andcount&=5072)
  thenclk_sh&&1&;
  else(count&5072andcount&=5076)
  thenclk_sh&=&0&;
  elsecount:=0;
  本文采用Xilinx公司生产的型号为XC9500系列的XC95216CPLD器件来设计驱动时序,通过VHDL硬件描述语言,编译后得到的时序仿真波形图,得到了六路驱动脉冲频率均满足了CCD的要求。
  由于CPLD产生的数字信号电压为42V左右,远大于线阵CCD的驱动电压5V,因此所产生的驱动时序不能直接传输给CCD芯片,为了有效实现驱动,隔离数字部分和线阵CCD调理电路部分,需要经过74反向器来进行整形和驱动能力的放大。为了使脉冲具有更好的同步性,引入同步脉冲HC,该同步脉冲的上升沿对应于CCD的第一个有效像素单元的有效期间。
  本文介绍了基于CPLD的线阵CCD的驱动实现方案,所得到驱动脉冲的相位、时序关系和时延参数均能满足TCD1501D的时序要求。如果需要对CCD信号的输出和数据的采集,用CPLD能实现并口的驱动和静态存储器的数据储存,得到CCD输出的模拟信号的高速转换,应用CPLD消除或减少了硬件电路带来的干扰,保证了输出的有效性和完整性。
上一篇: 下一篇:
【对“硅谷杂志:基于CPLD的TCD1501D型线阵CCD的驱动设计”发布评论】
版权及免责声明:
① 本网内容来源注明“硅谷网”及其相关称谓的文字、图片和音视频,版权均属硅谷网所有,任何媒体、网站或个人需经本网“协议授权”方可复制或转载,并在使用时必须详细注明对应来源,违者本网将依法追究责任。
② 注明来源为各大报纸、杂志、网站及其他媒体的文章,文章原作者享有著作权,本网转载其他媒体稿件是为传播更多的信息,并不代表本网赞同其观点和对其真实性负责,本网不承担此类稿件侵权行为的连带责任。
③ 本站部分投稿来源于“广大网友”,涉及投资、理财、消费等请亲再三甄别,切勿轻信。若硅谷网因为转载内容涉及到著作权等问题,请有关单位或个人速与本网取得联系(联系电话:),我们将第一时间核实处理。
【硅谷网讯 郑超 邢娜】 硬科技创新联盟日在北京正式成立。该联盟发起者有……
| Copyright &#169;
硅谷网 版权所有 网站热线:010- WWW.GUIGU.ORG All rights reserved.

我要回帖

更多关于 线阵ccd的工作原理 的文章

 

随机推荐