fatal error C1021: invalid preprocessor command 'delphi ifdeff_cplusplus'

C语言命语法错误大全
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
C语言命语法错误大全
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口哪个例子啊
是不是编译器路径问题
UID276980&帖子110&精华0&积分1034&资产1034 信元&发贴收入750 信元&推广收入0 信元&附件收入1734 信元&下载支出1505 信元&阅读权限30&在线时间115 小时&注册时间&最后登录&
就是红绿灯的那个例子,就两个文件,一个是foreign.c和test.sv文件
代码内容如下:
//foreign.c
#include &dpi_types.h&
int c_CarWaiting()
& & printf(&There's a car waiting on the other side. \n&);
& & printf(&Initiate change sequence ...\n&);
& & sv_YellowLight();
& & sv_WaitForRed();
& & sv_RedLight();
& & return 0;
module test ();
typedef enum {RED, GREEN, YELLOW} traffic_
function void sv_GreenLight ();
& & light = GREEN;
endfunction
function void sv_YellowLight ();
& & light = YELLOW;
endfunction
function void sv_RedLight ();
& & light = RED;
endfunction
task sv_WaitForRed ();
export &DPI-C& function sv_YellowL
export &DPI-C& function sv_RedL
export &DPI-C& task sv_WaitForR
import &DPI-C& context task c_CarWaiting ();
& & #10 sv_GreenL
& & #10 c_CarW
& & #10 sv_GreenL
其实代码内容很简单,关键在运行批处理文件时其中的一条命令出错,全部的批处理命令如下:
//windows.bat
vlib work& && && && && && && && && && && && && && && && && && && && && &&&//1
vlog test.sv -dpiheader dpi_types.h& && && && && && && & //2
vopt +acc test -o opt_test& && && && && && && && && && && && & //3
vsim -c opt_test -dpiexportobj exports& && && && && && & //4
gcc -I %MTI_HOME%\include -shared -g -o foreign.dll foreign.c exports.obj -lmtipli -L %MTI_HOME%\win32& && && &&&//5
vsim -i opt_test -sv_lib foreign -do & view source&& && && && && && && && && &//6
在运行第四条命令爆出帖子里描述的错误,我也怀疑是不是我的visaul studio 2003那边调用出了错,因为报错的路径指向那里,但是我怎么知道什么错误呢?怎么解决,求了解这方面的大侠帮忙分析一下,万分感激!
UID276980&帖子110&精华0&积分1034&资产1034 信元&发贴收入750 信元&推广收入0 信元&附件收入1734 信元&下载支出1505 信元&阅读权限30&在线时间115 小时&注册时间&最后登录&
问题解决了,原因是环境变量设置有问题,
UID540355&帖子1&精华0&积分55&资产55 信元&发贴收入5 信元&推广收入0 信元&附件收入0 信元&下载支出0 信元&阅读权限10&在线时间0 小时&注册时间&最后登录&
我今天遇到了和你同样的问题,不知道是不是太晚了,你不会看本帖了。
你说的环境变量设置错误,是指什么?是visaul studio 2003吗?
我想问,modelsim是怎么调用visaul studio 2003编译c代码的?需要什么设置吗?
-dpiheader 和 -dpiexportobj选项是什么意思?我没有查到,谢谢!期待你的答复
UID540629&帖子50&精华0&积分20&资产20 信元&发贴收入270 信元&推广收入0 信元&附件收入0 信元&下载支出300 信元&阅读权限10&在线时间23 小时&注册时间&最后登录&
建议楼主把环境设置的修改贴出来共享一下。
UID276980&帖子110&精华0&积分1034&资产1034 信元&发贴收入750 信元&推广收入0 信元&附件收入1734 信元&下载支出1505 信元&阅读权限30&在线时间115 小时&注册时间&最后登录&
我今天遇到了和你同样的问题,不知道是不是太晚了,你不会看本帖了。
你说的环境变量设置错误,是指什么?是visaul studio 2003吗?
我想问,modelsim是怎么调用visaul studio 2003编译c代码的?需要什么设置吗?
jikui_2005 发表于
-dpiheader 和-dpiexportobj的区别是,前者指示vlog命令生成.h文件,后者是提示vsim命令生成.obj文件,我的理解是这样的。
环境变量的设置是指,gcc-mingw32编译器的环境变量,要放在visual studio编译器环境变量的后面,具体原因我现在也忘了为什么要这么做,有知道的可以补充哈。。就这些。
UID6204&帖子322&精华0&积分6&资产6 信元&发贴收入1755 信元&推广收入0 信元&附件收入0 信元&下载支出2095 信元&阅读权限10&在线时间129 小时&注册时间&最后登录&
thank you teach me about it.
UID587399&帖子19&精华0&积分70&资产70 信元&发贴收入95 信元&推广收入0 信元&附件收入0 信元&下载支出75 信元&阅读权限10&在线时间0 小时&注册时间&最后登录&
In my understanding,gcc-mingw32 will tell visaul studio 2003 to generate 32-bit version .so.
UID276980&帖子110&精华0&积分1034&资产1034 信元&发贴收入750 信元&推广收入0 信元&附件收入1734 信元&下载支出1505 信元&阅读权限30&在线时间115 小时&注册时间&最后登录&
the gcc and vs are two different compiler , how can gcc tell vs to generate 32-bit version ,btw , all these&&software work under Windows platform , which uses DLL file to link the DPI interface function, not .so file . is that right? thank you .
[通过 QQ、MSN 分享给朋友] C语言命语法错误大全 本文档属于精品文档、课件类技术资料,转载请联系作者
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
 C语言命语法错误大全
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口

我要回帖

更多关于 delphi ifdef 的文章

 

随机推荐