新手请教UART串行数据:管脚数字信号和模拟信号的区别发送到电脑

温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
&UART使用标准的TTL/CMOS逻辑电平(0~5v、0~3.3v、0~2.5v或0~1.8v)来表示数据,高电平表示1,低电平表示0。为了增强数据的抗干扰能力、提高传输长度,通常将TTL/CMOD逻辑电平转换为RS-232逻辑电平,3~12v表示0,-3~-12v表示1TxD、RxD数据线以位为最小单位传输数据,而帧由具有完整意义的、不可分割的若干位组成,它包含开始位、数据位、校验位(需要的话)和停止位。发送数据之前,UART之间要约定好数据的传输速率(即每位所占据的时间,其倒数称为波特率)、数据的传输格式(即有多少个数据位、是否使用校验位、是奇校验还是偶校验、有多少个停止位)。数据传输流程如下:(1)平时数据线处于空闲状态(1状态)(2)当要发送数据时,UART改变TxD数据线的状态(变为0状态)并维持1位的时间,这样接收方检测到开始位后,在等待1.5位的时间就开始一位一位地检测数据线的状态得到所传输的数据。(3)UART一帧中可以有5、6、7或8位的数据,发送方一位一位地改变数据线的状态将他们发送出去,首先发送最低位。(4)如果使用校验功能,UART在发送完数据后,还要发送1位校验位。有两种校验方法:奇校验、偶校验——数据位连同校验位中,1的数据等于奇数或偶数。(5)最后,发送停止位,数据线恢复到空闲状态(1状态)。停止位的长度有3种:1位、1.5位、2位。下图演示了UART使用7个数据位、偶校验、2个停止位的格式传输字符‘A’(二进制值为0b1000001)时,TTL/COM逻辑电平和RS-232逻辑电平对应的波形TTL/COM逻辑电平 &RS-232逻辑电平 &2、s3c2440 UART特性(1)工作模式s3c2440中,UART有三个独立的通道,UART0、UART1、UART2,每个通道都可以工作于中断模式和DMA模式。关于这两种模式我们有必要说一下&1&中断模式:这就是说,当要收发数据时会向CPU发出中断请求,由CPU完成收发的工作&2&DMA模式:这就是说,当要收发数据发出DMA请求,然后DMA向CPU发出总线请求,CPU将总线交给DMA之后,由DMA控制数据的收发工作。(2)数据收发方式&1&使用FIFO在发送端,首先检查对方是否请求发送以及FIFO是否已满,只有当对方有发送请求且FIFO未满的情况下,才会向FIFO写入数据,当FIFO数据达到一定数量是,就会进行中断请求或DMA请求,将数据通过移位寄存器发送出去。在接收端,通过移位寄存器将数据存入FIFO中。在接收端,首先检查FIFO是否已满,如果FIFO未满,则可以发出请求发送信号。&2&不使用FIFO在发送端首先检查发送缓冲器是否为空以及是否有发送请求,如果发送缓冲区为空,就会向发送缓冲区写入数据,然后产生中断请求或DMA请求,将数据发送到接收端。当接收端的接收缓冲区接收到数据后,先读取数据,然后再次请求发送数据。3、s3c2410 UART的使用方法(1)将所涉及到的UART通道管脚设为UART功能(2)UBRDIVn寄存器:设置波特率(3)ULCONn寄存器:设置传输格式(4)UCONn寄存器:选择UART时钟源、设置UART中断方式等(5)UFCONn寄存器和UFSTATn寄存器:用来设置是否使用FIFO,设置各个FIFO的触发阀值(6)UMCONn寄存器和UMSTATn寄存器:用于流量控制
阅读(23390)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
loftPermalink:'',
id:'fks_',
blogTitle:'UART基础知识',
blogAbstract:'1、UART原理说明发送数据时,CPU将并行数据写入UART,UART按照一定的格式在一根电线上串行发出;接收数据时,UART检测另一根电线上的信号,串行收集然后放在缓冲区中,CPU即可读取UART获得这些数据。UART之间以全双工方式传输数据,最精确的连线方法只有3根电线:TxD用于发送数据,RxD用于接收数据,Gnd用于给双发提供参考电平,连线如下:&UART使用标准的TTL/CMOS逻辑电平(0~5v、0~3.3',
blogTag:'',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:9,
publishTime:4,
permalink:'blog/static/',
commentCount:1,
mainCommentCount:1,
recommendCount:4,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:false,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}uart串口是什么?_电脑网络问题_土巴兔问吧
uart串口是什么?
报价结果将发送到您的手机
装修顾问-馨馨
4年行业经验,24h可咨询
10秒闪电通过好友
报价短信已发送到您的手机
因材料品牌及工程量不同,具体报价以量房实测为准
稍候装修管家将回电您,免费提供装修咨询服务
您的装修预算约
*装修管家将回电您,免费提供装修咨询服务
*装修管家将回电您,免费提供装修咨询服务
*因材料品牌及工程量不同,具体报价以量房实测为准
装修顾问 -馨馨
(四年装修行业经验)
微信扫一扫
3.&您家小区名称 :&&
请选择您家的装修时间
uart串口是什么?
提问者:万兰若|
时间: 18:42:18
已有3条答案
回答数:4472|被采纳数:15
人尚年轻心却老
所有回答:&4472
UART &&(Universal &&Asynchronous &&Receiver/Transmitter)
通用异步收发器
UART是用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了RS-232C数据终
端设备接口,这样计算机就可以和调制解调器或其它使用RS-232C接口的串行设备通信了
。作为接口的一部分,UART还提供以下功能:
将由计算机内部传送过来的并行数据转换为输出的串行数据流。将计算机外部来的串行
数据转换为字节,供计算机内部使用并行数据的器件使用。
在输出的串行数据流中加入
奇偶校验位,并对从外部接收的数据流进行奇偶校验。
在输出数据流中加入启停标记,并从接收数据流中删除启停标记。处理由键盘或鼠标发出的中断信号(键盘和鼠票也是串行设备)。可以处理计算机与外部串行设备的同步管理问题。有一些比较高档的UART还提供输入输出数据的缓冲区,现在比较新的UART是16550,它可以在计算机需要处理数
据前在其缓冲区内存储16字节数据,而通常的UART是8250。
现在如果你购买一个内置的调制解调器,此调制解调器内部通常就会有16550 &&UART。
回答数:8905|被采纳数:15
所有回答:&8905
在某些 &&Stellaris &&系列 &&ARM &&芯片里,UART &&还包含一个 &&IrDA &&串行红外(SIR)编码器/ &&解码器模块。IrDA &&SIR &&模块的作用是在异步UART数据流和半双工串行SIR &&接口之间进行转换。片上不会执行任何模拟处理操作。SIR &&模块的任务就是要给UART &&提供一个数字编码输出和一个解码输入。UART &&信号管脚可以和一个红外收发器连接以实现IrDA &&SIR物理层连接。
回答数:17932|被采纳数:52
李氏二小生
所有回答:&17932
UART是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。在嵌入式设计中,UART用来主机与辅助设备通信,如汽车音响与外接AP之间的通信,与PC机通信包括与监控调试器和其它器件,如EEPROM通信。
已有 3 个回答
已有 3 个回答
已有 3 个回答
已有 3 个回答
已有 3 个回答
位业主已在问吧找到答案
北欧简约、复古美式、大气欧式、清新地中海风,总有一款适合你!
一万套装修案例
下载土巴兔APP
中国装修网查看: 25642|回复: 14
第11章 UART串行通信
1.JPG (30.68 KB, 下载次数: 130)
14:54 上传
通信按照传统的理解就是信息的传输与交换。对于单片机来说,通信则与传感器、存储芯片、外围控制芯片等技术紧密结合,成为整个单片机系统的“神经中枢”。没有通信,单片机所实现的功能仅仅局限于单片机本身,就无法通过其他设备获得有用信息,也无法将自己产生的信息告诉其它设备。如果单片机通信没处理好的话,它和外围器件的合作程度就受到限制,最终整个系统也无法完成强大的功能,由此可见单片机通信技术的重要性。UART(Universal Asynchronous Receiver/Transmitter,即通用异步收发器)串行通信是单片机最常用的一种通信技术,通常用于单片机和电脑之间以及单片机和单片机之间的通信。11.1 串行通信的初步认识通信按照基本类型可以分为并行通信和串行通信。并行通信时数据的各个位同时传送,可以实现字节为单位通信,但是因为通信线多占用资源多,成本高。比如我们前边用到的P0 = 0一次给P0的8个IO口分别赋值,同时进行信号输出,类似于有8个车道同时可以过去8辆车一样,这种形式就是并行的,我们习惯上还称P0、P1、P2和P3为51单片机的4组并行总线。而串行通信,就如同一条车道,一次只能一辆车过去,如果一个0xfe这样一个字节的数据要传输过去的话,假如低位在前高位在后,那发送方式就是0-1-1-1-1-1-1-1-1,一位一位的发送出去的,要发送8次才能发送完一个字节。在我们的STC89C52上,有两个引脚,是专门用来做UART串口通信的,一个是P3.0一个是P3.1,还分别有另外的名字叫做RXD和TXD,这两个引脚是专门用来进行UART通信的,如果我们两个单片机进行UART串口通信的话,那基本的演示图如图11-1所示。
2.JPG (14.8 KB, 下载次数: 116)
14:30 上传
图11-1 单片机之间UART通信示意图图中,GND表示单片机系统电源的参考地,TXD是串行发送引脚,RXD是串行接收引脚。两个单片机之间要通信,首先电源基准得一样,所以我们要把两个单片机的GND相互连起来,然后单片机1的TXD引脚接到单片机2的RXD引脚上,即此路为单片机1发送而单片机2接收的通道,单片机1的RXD引脚接到单片机2的TXD引脚上,即此路为单片机2发送而单片机2接收的通道。这个示意图就体现了两个单片机各自收发信息的过程。当单片机1想给单片机2发送数据时,比如发送一个0xE4这个数据,用二进制形式表示就是0b,在UART通信过程中,是低位先发,高位后发的原则,那么就让TXD首先拉低电平,持续一段时间,发送一位0,然后继续拉低,再持续一段时间,又发送了一位0,然后拉高电平,持续一段时间,发了一位1......一直到把8位二进制数字0b全部发送完毕。这里就牵扯到了一个问题,就是持续的这“一段时间”到底是多久?从这里引入我们通信中的另外重要概念——波特率,也叫做比特率。波特率就是发送一位二进制数据的速率,习惯上用baud表示,即我们发送一位数据的持续时间=1/baud。在通信之前,单片机1和单片机2首先都要明确的约定好他们之间的通信波特率,必须保持一致,收发双方才能正常实现通信,这一点大家一定要记清楚。约定好速度后,我们还要考虑第二个问题,数据什么时候是起始,什么时候是结束呢?不管是提前接收还是延迟接收,数据都会接收错误。在UART串行通信的时候,一个字节是8位,规定当没有通信信号发生时,通信线路保持高电平,当要发送数据之前,先发一位0表示起始位,然后发送8位数据位,数据位是先低后高的顺序,数据位发完后再发一位1表示停止位。这样本来要发送一个字节8位数据,而实际上我们一共发送了10位,多出来的两位其中一位起始位,一位停止位。而接收方呢,原本一直保持的高电平,一旦检测到来了一位低电平,那就知道了要开始准备接收数据了,接收到8位数据位后,然后检测到停止位,再准备下一个数据的接收了。我们图示看一下,如图11-2所示。
3.JPG (27.66 KB, 下载次数: 105)
14:30 上传
图11-2 串口数据发送示意图& & 像我们的图11-2串口数据发送示意图,实际上是一个时域示意图,就是信号随着时间变化的对应关系。比如在单片机的发送引脚上,左边的是先发生的,右边的是后发生的,数据位的切换时间就是波特率分之一秒,如果能够理解时域的概念,后边很多通信的时序图就很容易理解了。11.2 串行RS232通信接口在我们的台式电脑上,有一个9针的串行接口,这个串行接口叫做RS232接口,它和UART通信有关联,但是由于现在笔记本电脑都不带这种9针串口了,所以和单片机通信越来越趋向于使用USB虚拟的串口和单片机通信,因此这一节的内容作为了解内容,大家知道有这么回事就行。我们先来认识一下这个标准串口,串口分为9针的和9孔的,习惯上我们也称之为公头和母头,如图11-3所示。&&
4.JPG (57.46 KB, 下载次数: 123)
14:30 上传
图11-3 RS232通信接口RS232接口一共有9个引脚,分别定义是:1、载波检测(DCD);2、接收数据(RXD);3、发送数据(TXD);4、数据终端准备好(DTR);5、信号地线(SG);6、数据准备好(DSR);7、请求发送(RTS);8、清除发送(CTS);9、振铃提示(RI)。我们要让这个串口和我们单片机进行通信,我们只需要关心其中的2脚(RXD),3脚(TXD)和5脚(GND)。虽然这三个脚的名字和我们单片机上的串口名字一样,但是却不能直接和单片机对连直接通信,这是为什么呢?随着我们了解的内容越来越多,我们得慢慢知道,不是所有的电路都是5V代表高电平而0V代表低电平的。对于RS232标准来说,它是个反逻辑,也叫做负逻辑。为何叫负逻辑?它的TXD和RXD的电压,-3V到-15V代表是1,3-15V之间的电压代表是0。低电平代表的是1,而高电平代表的是0,所以称之为负逻辑。因此电脑的9针232串口是不能和单片机直接连接的,需要用一个转换芯片MAX232来完成,如图11-4所示。
5.JPG (98.73 KB, 下载次数: 101)
14:30 上传
图11-4 MAX232转接图这个芯片就可以实现把标准RS232串口电平转换成我们单片机能够识别和承受的UART 0V/5V电平标准。从这里大家似乎慢慢有点明白了,其实RS232串口和UART串口,他们的协议类型是一样,只是电平不同而已,而MAX232这个芯片起到的就是中间人的作用,他把UART电平转换成RS232电平,也把RS232电平转换成UART电平,从而实现标准RS232接口和单片机UART之间的通信连接。11.3 USB转串口通信随着技术的发展,工业上还有RS232串口通信的大量使用,但是商业技术的应用上,已经慢慢的使用USB转UART技术取代了RS232串口,绝大多数笔记本电脑已经没有串口这个东西了,那我们要实现单片机和电脑之间的通信该如何办呢?我们只需要在我们电路上添加一个USB转串口芯片,就可以成功实现USB通信协议和标准UART串行通信协议的转换,在我们的开发板上,我们使用的是CH340T这个芯片,如图11-5所示。
6.JPG (75.64 KB, 下载次数: 126)
14:30 上传
图11-5 USB转串口电路左侧J2是一组跳线的组合,大家可以在我们板子左下角的跳线位置找到,我们是把3脚和5脚、4脚和6脚通过跳线帽短接到一起。右侧的CH340T这个电路很简单,把电源电路,晶振电路接好后,6脚和7脚的DP和DM分别接USB口的2个数据引脚上去,3脚和4脚通过跳线接到了我们单片机的TXD和RXD上去。CH340T的电路里3脚位置加了个4148的二极管,是一个小技巧。因为我们的STC89C52RC这个单片机下载程序需要冷启动,就是先点下载后上电,上电瞬间单片机会先检测需要不需要下载程序。虽然单片机的VCC是由开关来控制,但是由于CH340T的3脚是输出引脚,如果没有此二极管,开关后级单片机在断电的情况下,CH340T的3脚和单片机的P3.0(即RXD)引脚连在一起,有电流会通过这个引脚流入后级电路并且给后级的电容充电,造成后级有一定幅度的电压,这个电压值虽然只有两三伏左右,但是可能会影响到我们的冷启动。加了二极管后,一方面不影响通信,另外一个方面还可以消除这种问题。这个地方可以暂时作为了解,大家如果自己做这块电路,可以参考一下。11.4 IO口模拟UART串口通信为了让大家充分理解UART串口通信的原理,我们先用P3.0和P3.1这两个当做IO口来进行模拟实际串口通信的过程,原理搞懂后,我们再使用寄存器配置实现串口通信过程。对于UART串口波特率,常用的值是300、600、1200、2400、4800、9600、14400、19200、28800、38400、57600、115200、128000、256000等速率。IO口模拟UART串行通信程序是一个简单的演示程序,我们使用串口调试助手下发一个数据,数据加1后,再自动返回。串口调试助手,在我们进行全板子测试视频的时候,大家已经见过,这里我们直接使用STC-ISP软件自带的串口调试助手,可到去下载此程序,先把串口调试助手使用给大家说一下,如图11-6所示。第一步要选择串口助手菜单,第二步选择十六进制显示,第三步选择十六进制发送,第四步选择COM口,这个COM口要和自己电脑设备管理器里的那个COM口一致,波特率是我们程序设定好的选择,我们程序中让一个数据位持续时间是1/9600秒,那这个地方选择波特率就是选9600,校验位选N,数据位8,停止位1。
7.JPG (178.6 KB, 下载次数: 103)
14:31 上传
图11-6 串口调试助手示意图串口调试助手的实质就是我们利用电脑上的UART通信接口,通过这个UART接口发送数据给我们的单片机,也可以把我们的单片机发送的数据接收到这个调试助手界面上。因为初次接触通信方面的技术,所以我对这个程序进行一下解释,大家可以边看我的解释边看程序,把底层原理先彻底弄懂。变量定义部分就不用说了,直接看main主函数。首先是对通信的波特率的设定,在这里我们配置的波特率是9600,那么串口调试助手也得是9600。配置波特率的时候,我们用的是定时器0的模式2。模式2中,不再是TH0代表高8位,TL0代表低8位了,而只有TL0在进行计数了。当TL0溢出后,不仅仅会让TF0变1,而且还会将TH0中的内容重新自动装到TL0中。这样有一个好处,我们可以把我们想要的定时器初值提前存在TH0中,当TL0溢出后,TH0自动把初值就重新送入TL0了,全自动的,不需要程序上再给TL0重新赋值了,配置方式很简单,大家可以自己看下程序并且计算一下初值。波特率设置好以后,打开中断,然后等待接收串口调试助手下发的数据。接收数据的时候,首先要进行低电平检测 while (PIN_RXD),若没有低电平则说明没有数据,一旦检测到低电平,就进入启动接收函数StartRXD()。接收函数最开始启动半个波特率周期,初学可能这里不是很明白。大家回头看一下我们的图11-2里边的串口数据示意图,信号在数据位电平变化的时候去读,因为时序上的误差以及信号稳定性的问题很容易读错数据,所以我们希望在信号最稳定的时候去读数据。除了信号变化的那个沿的位置外,其他位置都很稳定,那么我们现在就约定在信号中间位置去读取电平状态,这样能够保证我们信号读的是对的。一旦读到了起始信号,我们就把当前状态设定成接受状态,并且打开定时器中断,第一次是半个周期进入中断后,对起始位进行二次判断一下,确认一下起始位是低电平,而不是一个干扰信号。以后每经过9600分之一秒进入一次中断,并且把这个引脚的状态读到RxdBuf里边。等待接收完毕之后,我们再把这个RxdBuf加1,再通过TXD引脚发送出去,同样需要先发一位起始位,然后发8个数据位,再发结束位,发送完毕后,程序运行到while (PIN_RXD),等待第二轮信号接收的开始。#include &reg52.h&sbit PIN_RXD = P3^0;&&//接收引脚定义sbit PIN_TXD = P3^1;&&//发送引脚定义bit RxdOrTxd = 0;&&//指示当前状态为接收还是发送bit RxdEnd = 0;& & //接收结束标志bit TxdEnd = 0;& & //发送结束标志unsigned char RxdBuf = 0;&&//接收缓冲器unsigned char TxdBuf = 0;&&//发送缓冲器void ConfigUART(unsigned int baud);void StartTXD(unsigned char dat);void StartRXD();void main (){& & ConfigUART(9600);&&//配置波特率为9600& & EA = 1;& && && && &//开总中断
& & while(1)& & {& && &&&while (PIN_RXD);& & //等待接收引脚出现低电平,即起始位& && &&&StartRXD();& && && &//启动接收& && &&&while (!RxdEnd);& & //等待接收完成& && &&&StartTXD(RxdBuf+1); //接收到的数据+1后,发送回去& && &&&while (!TxdEnd);& & //等待发送完成& & }}void ConfigUART(unsigned int baud)&&//串口配置函数,baud为波特率{& & TMOD &= 0xF0;& &//清零T0的控制位& & TMOD |= 0x02;& &//配置T0为模式2& & TH0 = 256 - () /&&//计算T0重载值}void StartRXD()& &//启动串行接收{& & TL0 = 256 - ((256-TH0) && 1);&&//接收启动时的T0定时为半个波特率周期& & ET0 = 1;& && &&&//使能T0中断& & TR0 = 1;& && &&&//启动T0& & RxdEnd = 0;& &&&//清零接收结束标志& & RxdOrTxd = 0;& &//设置当前状态为接收}void StartTXD(unsigned char dat)&&//启动串行发送,dat为待发送字节数据{& & TxdBuf =& &//待发送数据保存到发送缓冲器& & TL0 = TH0;& && &//T0计数初值为重载值& & ET0 = 1;& && &&&//使能T0中断& & TR0 = 1;& && &&&//启动T0& & PIN_TXD = 0;& & //发送起始位& & TxdEnd = 0;& &&&//清零发送结束标志& & RxdOrTxd = 1;& &//设置当前状态为发送}void InterruptTimer0() interrupt 1&&//T0中断服务函数,处理串行发送和接收{& & static unsigned char cnt = 0;& &//bit计数器,记录当前正在处理的位& & if (RxdOrTxd)&&//串行发送处理& & {& && &&&cnt++;& && &&&if (cnt &= 8)&&//低位在先依次发送8bit数据位& && &&&{& && && && &PIN_TXD = TxdBuf & 0x01;& && && && &TxdBuf &&= 1;& && &&&}& && &&&else if (cnt == 9)&&//发送停止位& && &&&{& && && && &PIN_TXD = 1;& && &&&}& && &&&else&&//发送结束& && &&&{& && && && &cnt = 0;& & //复位bit计数器& && && && &TR0 = 0;& & //关闭T0& && && && &TxdEnd = 1; //置发送结束标志& && &&&}& & }& & else&&//串行接收处理& & {& && &&&if (cnt == 0)& &&&//处理起始位& && &&&{& && && && &if (!PIN_RXD) //起始位为0时,清零接收缓冲器,准备接收数据位& && && && &{& && && && && & RxdBuf = 0;& && && && && & cnt++;& && && && &}& && && && &else& && && & //起始位不为0时,中止接收& && && && &{& && && && && & TR0 = 0;&&//关闭T0& && && && &}& && &&&}& && &&&else if (cnt &= 8)& &//处理8位数据位& && &&&{& && && && &RxdBuf &&= 1;& & //低位在先,所以将之前接收的位向右移& && && && &if (PIN_RXD)& &&&//接收脚为1时,缓冲器最高位置1;为0时不处理即仍保持移位后的0& && && && &{& && && && && & RxdBuf |= 0x80;& && && && &}& && && && &cnt++;& && &&&}& && &&&else&&//停止位处理& && &&&{& && && && &cnt = 0;& && && &//复位bit计数器& && && && &TR0 = 0;& && && &//关闭T0& && && && &if (PIN_RXD)& &&&//停止位为1时,方能认为数据有效& && && && &{& && && && && & RxdEnd = 1;&&//置接收结束标志& && && && &}& && &&&}& & }}& & 同学们通过学习我们的程序,也慢慢感受到了,程序的延时部分已经不再使用简单的delay来完成了,我们要通过我们的程序编写积累,慢慢提高自己灵活运用定时器的能力。一个小小的定时器,可以帮我们完成很多很多工作。11.5 UART串口通信的基本应用11.5.1 通信的三种基本类型我们常用的通信通常可以分为单工、半双工、全双工通信。单工就是指只允许一方向另外一方传送信息,而另一方不能回传信息。比如我们的电视遥控器,我们的收音机广播等,都是单工通信技术。半双工是指数据可以在双方之间相互传播,但是同一时刻只能其中一方发给另外一方,比如我们的对讲机就是典型的半双工。全双工通信就发送数据的同时也能够接受数据,两者同步进行,就如同我们的电话一样,我们说话的同时也可以听到对方的声音。11.5.2 UART模块介绍IO口模拟串口通信,大家了解了串口通信的实质,但是我们的单片机程序却需要不停的检测扫描单片机IO口收到的数据,大量占用了CPU资源。这时候就会有聪明人想了,其实我们不是很关心通信的过程,我们只需要一个通信的结果,最终得到接收到的数据就行了。这样我们可以在单片机内部做一个硬件模块,让他自动接收数据,接收完了,通知我们一下就可以了,我们的51单片机内部就存在这样一个UART模块,要正确使用它,当然还得先把对应的特殊功能寄存器配置好。51单片机的UART串行口的结构由串行口控制寄存器SCON、发送和接收电路三部分构成,先来了解一下串口控制寄存器SCON。表11-1 SCON--串行控制寄存器的位分配(地址:98H)& && & 可位寻址;复位值:0x00;复位源:任何复位位76543210符号SM0SM1SM2RENTB8RB8TIRI表11-2 SCON--串行控制寄存器的位描述位符号描述7SM0这两位共同决定了串口通信的模式0到模式3共4种模式。我们最常用的就是模式1,也就是SM0=0,SM1=1,下边我们重点就讲模式1,其他模式从略。6SM15SM2多机通信控制位(很少用),模式1直接清零。4REN使能串行接收。由软件置位使能接收,软件清零则禁止接收3TB8模式2和3中将要发送的第9位数据(很少用)2RB8模式2和3中接收第9位数据(很少用),模式1用来接收停止位1TI发送中断标志位,模式1下,在数据位最后一位发送结束,开始发送停止位时由硬件自动置1,必须通过软件清零。也就是说,再发送前我们清零TI,发送数据,数据发送到停止位时,TI硬件置1,方便我们CPU查询发送完毕状态。0RI接收中断标志位,当接收电路接收到停止位的中间位置时,RI由硬件置1。也就是说,接收数据之前我们必须清零RI,接受数据到停止位的中间位置时,RI硬件置1,方便我们CPU查询到接收状态。前边学了那么多寄存器的配置,相信SCON这个地方,对于大多数同学来说已经不是难点了,应该能看懂并且可以自己配置了。对于串口的四种模式,模式1是最常用的,就是我们前边提到的1位起始位,8位数据位和1位结束位。因为我们的教程不同于教科书,只要有的功能都一一介绍,我们只介绍实用的技术,所以其他3种模式,真正遇到需要使用的时候大家再去查资料就行。在我们使用IO口模拟串口通信的时候,我们串口的波特率是使用定时器0的中断体现出来的。在实际串口模块中,有一个专门的波特率发生器用来控制发送数据的速度和读取接收数据的速度。对于STC89C52RC单片机来讲,这个波特率发生器只能由定时器1或定时器2产生,而不能由定时器0产生,这和我们模拟的通信是完全不同的概念。如果用定时器2,需要配置额外的寄存器,默认是使用定时器1的,我们本章内容主要是使用定时器1作为波特率发生器来讲解,方式1下的波特率发生器必须使用定时器1的模式2,也就是自动重装载模式,定时器的初值具体的计算公式是:& && && &&&TH1 = TL1 = 256 - 晶振值/12 /2/16 /波特率和波特率有关的还有一个寄存器,是一个电源管理寄存器PCON,他的最高位可以把波特率提高一倍,也就是如果写PCON |=0x80以后,计算公式就成了& && && &&&TH1 = TL1 = 256 - 晶振值/12 /16 /波特率数字的含义这里解释一下,256是8位数据的溢出值,也就是TL1的溢出值,就是我们板子上单片机的晶振,12是说1个机器周期是12个时钟周期,值得关注的是这个16,重点说明。我们在IO口模拟串口通信接收数据的时候,我们采集的是这一位数据的中间位置,而实际上串口模块比我们模拟的要复杂和精确一些。他采取的方式是把一位信号采集16次,其中第7、8、9次取出来,这三次中其中两次如果是高电平,那么就认定这一位数据是1,如果两次是低电平,那么就认定这一位是0,这样一旦受到意外干扰读错一次数据,也依然可以保证最终数据的正确性。了解了串口采集模式,在这里要给大家留一个思考题。“晶振值/12/2/16/波特率”这个地方计算的时候,出现不能除尽,或者出现小数怎么办,允许出现多大的偏差?把这部分理解了,也就理解了我们的晶振为何使用11.0592M了。串口通信的发送和接收电路,我们主要了解一下他们在物理上有2个名字相同的SBUF寄存器,他们的地址也都是99H,但是一个用来做发送缓冲,一个用来做接收缓冲。意思就是说,有2个房间,两个房间的门牌号是一样的,其中一个只出人不进人,另外一个只进人不出人,这样的话,我们就可以实现UART的全双工通信,相互之间不会产生干扰。但是在逻辑上呢,我们每次只操作SBUF,单片机会自动根据对它执行的是“读”还是“写”操作来选择是接收SBUF还是发送SBUF,后边通过程序,我们就会彻底了解这个问题。11.5.3 UART串口程序一般情况下,我们编写串口通信程序的基本步骤如下所示:1、配置串口为模式1。2、配置定时器T1为模式2,即自动重装模式。3、确定波特率大小,计算定时器TH1和TL1的初值,如果有需要可以使用PCON进行波特率加倍。4、打开定时器控制寄存器TR1,让定时器跑起来。这个地方还要特别注意一下,就是在使用T1做波特率发生器的时候,千万不要再使能T1的中断了。我们先来看一下由IO口模拟串口通信直接改为使用硬件UART模块时程序代码,看看程序是不是简单了很多,因为大部分的工作硬件模块都替我们做了。程序功能和IO口模拟的是完全一样的。#include &reg52.h&void ConfigUART(unsigned int baud);void main (){& & ConfigUART(9600);&&//配置波特率为9600
& & while(1)& & {& && &&&while (!RI);& &&&//等待接收完成& && &&&RI = 0;& && && & //清零接收中断标志位& && &&&SBUF = SBUF + 1; //接收到的数据+1后,发送回去;& && && && && && && && && &//等号左边的SBUF实际上就是发送SBUF,因为对它的操作是“写”;& && && && && && && && && &//等号右边的是接收SBUF,因为对它的操作是“读”。& && &&&while (!TI);& &&&//等待发送完成& && &&&TI = 0;& && && & //清零发送中断标志位& & }}void ConfigUART(unsigned int baud)&&//串口配置函数,baud为波特率{& & SCON = 0x50;& &//配置串口为模式1& & TMOD &= 0x0F;&&//清零T1的控制位& & TMOD |= 0x20;&&//配置T1为模式2& & TH1 = 256 - (/32) /&&//计算T1重载值& & TL1 = TH1;& &&&//初值等于重载值& & ET1 = 0;& && & //禁止T1中断& & TR1 = 1;& && & //启动T1}当然了,这个程序还是在主循环里等待接收中断标志位和发送中断标志位的方法来编写的,而实际工程开发中,当然就不能这么干了,所以就用到了串口中断,来看一下程序。#include &reg52.h&void ConfigUART(unsigned int baud);void main (){& & ConfigUART(9600);&&//配置波特率为9600
& & while(1);}void ConfigUART(unsigned int baud)&&//串口配置函数,baud为波特率{& & SCON = 0x50;& &//配置串口为模式1& & TMOD &= 0x0F;&&//清零T1的控制位& & TMOD |= 0x20;&&//配置T1为模式2& & TH1 = 256 - (/32) /&&//计算T1重载值& & TL1 = TH1;& &&&//初值等于重载值& & ET1 = 0;& && & //禁止T1中断& & TR1 = 1;& && & //启动T1& & ES = 1;& &//打开串口中断& & EA = 1;& &//打开总中断}void InterruptUART() interrupt 4{& & if (RI)&&//接收到字节& & {& && &&&RI = 0;&&//手动清零接收中断标志位& && &&&SBUF = SBUF + 1;//接收数据+1发回去,左边为发送SBUF,右边为接收SBUF。& & }& & if (TI)&&//字节发送完毕& & {& && &&&TI = 0;&&//手动清零发送中断标志位& & }&&}& & 大家可以试验一下试试,看看是不是和前边用IO口模拟通信实现的效果一致,而主循环却完全空出来了,我们就可以随意添加其它功能代码进去。11.6 字符和数据之间的转换我们学串口通信的应用主要是实现单片机和电脑之间的信息互发,可以用电脑控制单片机的一些信息,可以把单片机的一些信息状况发给电脑上的软件。下面我们就做一个简单的例程,实现单片机串口调试助手发送的数据,在我们开发板上的数码管上显示出来。#include &reg52.h&sbit ADDR3 = P1^3;& && &//LED选择地址线3sbit ENLED = P1^4;& && &//LED总使能引脚unsigned char code LedChar[] = {&&//数码管显示字符转换表& & 0xC0, 0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xF8,& & 0x80, 0x90, 0x88, 0x83, 0xC6, 0xA1, 0x86, 0x8E};unsigned char LedBuff[6] = {&&//数码管& & 0xFF, 0xFF, 0xFF, 0xFF, 0xFF, 0xFF};unsigned char T0RH = 0;&&//T0重载值的高字节unsigned char T0RL = 0;&&//T0重载值的低字节unsigned char RxdByte = 0;&&//串口接收到的字节void ConfigTimer0(unsigned int ms);void ConfigUART(unsigned int baud);void main (){& & P0 = 0xFF;&&//P0口初始化& & ADDR3 = 1;&&//选择数码管& & ENLED = 0;&&//LED总使能& & EA = 1;& &&&//开总中断& & ConfigTimer0(1);& &//配置T0定时1ms& & ConfigUART(9600);&&//配置波特率为9600
& & while(1)& & {& &//将接收字节在数码管上以十六进制形式显示出来& && &&&LedBuff[0] = LedChar[RxdByte & 0x0F];& && &&&LedBuff[1] = LedChar[RxdByte && 4];& & }}void ConfigTimer0(unsigned int ms)&&//T0配置函数{& &
/ 12;& && &//定时器计数频率& & tmp = (tmp * ms) / 1000;&&//计算所需的计数值& & tmp = 65536 -& && &&&//计算定时器重载值& & tmp = tmp + 31;& && && &&&//修正中断响应延时造成的误差
& & T0RH = (unsigned char)(tmp && 8);&&//定时器重载值拆分为高低字节& & T0RL = (unsigned char)& & TMOD &= 0xF0;& &//清零T0的控制位& & TMOD |= 0x01;& &//配置T0为模式1& & TH0 = T0RH;& &&&//加载T0重载值& & TL0 = T0RL;& & ET0 = 1;& && &&&//使能T0中断& & TR0 = 1;& && &&&//启动T0}void ConfigUART(unsigned int baud)&&//串口配置函数,baud为波特率{& & SCON = 0x50;& &//配置串口为模式1& & TMOD &= 0x0F;&&//清零T1的控制位& & TMOD |= 0x20;&&//配置T1为模式2& & TH1 = 256 - (/32) /&&//计算T1重载值& & TL1 = TH1;& &&&//初值等于重载值& & ET1 = 0;& && & //禁止T1中断& & ES&&= 1;& && & //使能串口中断& & TR1 = 1;& && & //启动T1}void LedScan()&&//LED显示扫描函数{& & static unsigned char index = 0;
& & P0 = 0xFF;& && && && && &&&//关闭所有段选位,显示消隐& & P1 = (P1 & 0xF8) |&&//位选索引值赋值到P1口低3位& & P0 = LedBuff[index];& && & //相应显示缓冲区的值赋值到P0口& & if (index & 5)& && && && & //位选索引0-5循环,因有6个数码管& && &&&index++;& & else& && &&&index = 0;}void InterruptTimer0() interrupt 1&&//T0中断服务函数{& & TH0 = T0RH;&&//定时器重新加载重载值& & TL0 = T0RL;& & LedScan();& &//LED扫描显示}void InterruptUART() interrupt 4{& & if (RI)&&//接收到字节& & {& && &&&RI = 0;&&//手动清零接收中断标志位& && &&&RxdByte = SBUF;&&//接收到的数据保存到接收字节变量中& && &&&SBUF = RxdB&&//接收到的数据又直接发回,这叫回显-&echo&,以提示用户输入的信息是否已正确接收& & }& & if (TI)&&//字节发送完毕& & {& && &&&TI = 0;&&//手动清零发送中断标志位& & }}大家在做这个实验的时候,有个小问题要注意一下。因为我们STC89C52RC下载程序是使用了UART串口下载,下载完程序后,程序运行起来了,可是下载软件最后还会通过串口发送一些额外的数据,所以程序刚下载进去不是显示00,而可能是其他数据。大家只要把开关关闭,重新打开一次就好了。细心的同学可能会发现,在串口调试助手发送选项和接收选项处,还有个“字符格式发送”和“字符格式显示”,这是什么意思呢?先抛开我们使用的汉字不谈,那么我们常用的字符就包含了0~9的数字、A~Z/a~z的字母、还有各种标点符号等。那么在单片机系统里面我们怎么来表示它们呢?ASCII码(American Standard Code for Information Interchange,即美国信息互换标准代码)可以完成这个使命:我们知道,在单片机中一个字节的数据可以有0~255共256个值,我们取其中的0~127共128个值赋予了它另外一层涵义,即让它们分别来代表一个常用字符,其具体的对应关系如下表。表11-3 ASCII表ASCII值控制字符ASCII值字符ASCII值字符ASCII值字符000NUL032(space)064@096’001SOH033!065A097a002STX034&066B098b003ETX035#067C099c004EOT036$068D100d005END037%069E101e006ACK038&070F102f007BEL039'071G103g008BS040(072H104h009HT041)073I105i010LF042*074J106j011VT043+075K107k012FF044,076L108l013CR045-077M109m014SO046.078N110n015SI047/079O111o016DLE0480080P112p017DC10491081Q113q018DC20502082R114r019DC30513083S115s020DC40524084T116t021NAK0535085U117u022SYN0546086V118v023ETB0557087W119w024CAN0568088X120x025EM0579089Y121y026SUB058:090Z122z027ESC059;091[123{028FS060&092\124|029GS061=093
125}030RS062&094^126~031US063?095_127DEL这样我们就在常用字符和字节数据之间建立了一一对应的关系,那么现在一个字节就既可以代表一个整数又可以代表一个字符了,但它本质上只是一个字节的数据,而我们赋予了它不同的涵义,什么时候赋予它那种涵义就看编程者的意图了。ASCII码在单片机系统中应用非常广泛,我们后续的课程也会经常使用到它,下面我们来对它做一个直观的认识,同学们一定要深刻理解其本质。对照上述表格,我们就可以实现字符和数字之间的转换了,比如还是这个程序,我们发送的时候改成字符格式发送,接收还是用十六进制接收,这样接收和数码管好做一下对比。我们用字符格式发送一个小写的a,返回一个十六进制的0x61,数码管上显示的也是61,ASCII码表里字符a对应十进制是97,等于十六进制的0x61;我们再用字符格式发送一个数字1,返回一个十六进制的0x31,数码管上显示的也是31,ASCII表里字符1对应的十进制是49,等于十六进制的0x31。这下大家就该清楚了:所谓的十六进制发送和十六进制接收,都是按字节数据的真实值进行的;而字符格式发送和字符格式接收,是按ASCII码表中字符形式进行的,但它实际上最终传输的还是一个字节数据。这个表格,当然不需要大家去记住,理解它,用的时候过来查就行了。通信的学习,不像前边控制部分那么直观了,通信部分我们的程序只能获得一个结果,而其过程我们却无法直接看到,所以慢慢的可能大家就会知道有示波器和逻辑分析仪这类测量仪器。如果学校实验室或者公司里有示波器或者逻辑分析仪这类仪器,可以拿过来抓一下串口波形,直观的了解一下。如果暂时还没有这些仪器,先知道这么回事,有条件再说。因为工具类的东西有的比较昂贵,有条件可以尽量使用学校或者公司的。在这里我用一款简易的逻辑分析仪把串口通信的波形抓出来给大家看一下,大家了解一下即可,如图11-7所示。
8.JPG (44.15 KB, 下载次数: 141)
14:31 上传
9.JPG (9.21 KB, 下载次数: 82)
14:31 上传
图11-7 逻辑分析仪串口数据示意图分析仪和示波器的作用,就是把通信过程的波形抓出来进行分析。先大概说一下波形的意思。波形左边是低位,右边是高位,上边这个波形是电脑发送给单片机的,下边这个波形是单片机回发给电脑的。以上边的波形为例,左边第一位是起始位0,从低位到高位依次是,顺序倒一下,就是数据0x31,也就是ASCII码表里的‘1’。大家可以注意到分析仪在每个数据位都给标了一个白色的点,表示是数据,起始位和无数据的时候都没有这个白点。时间标T1和T2的差值在右边显示出来是0.102ms,大概是9600分之一,稍微有点偏差,在容许范围内即可。通过图11-7,我们可以清晰的了解了串口通信的收发的详细过程。那我们这里再来了解一下,如果我们使用串口调试助手,用字符格式直接发送一个“12”,我们在我们的数码管上应该显示什么呢?串口调试助手应该返回什么呢?经过试验发现,我们数码管显示的是32,而串口调试助手返回十六进制显示的是31、32两个数据,如图11-8所示。
10.JPG (48.28 KB, 下载次数: 108)
14:33 上传
图11-8 串口调试助手数据显示我们用逻辑分析仪把这个数据抓出来看一下,如图11-9所示。
11.JPG (35.58 KB, 下载次数: 95)
14:33 上传
图11-9 逻辑分析仪抓取数据对于ASCII码表来说,数字本身是字符而非数据,所以如果发送“12”的话,实际上是是分别发送了“1”和“2”两个字符,单片机呢,先收到第一个字符“1”,在数码管上会显示出31这个对应数字,但是瞬间马上就又收到了“2”这个字符,数码管瞬间从31变成了32,而我们视觉上呢,根本是没有办法发现这种快速变化的,所以我们感觉数码管直接显示的是32。11.7 作业1、能够理解UART串口通信的基本原理和通信过程。2、通过IO口模拟UART串口通信把通信的底层操作原理弄明白。3、学会通过配置寄存器,实现串口通信的基本操作过程。4、了解字符和数据之间的转换依据和方法。5、完成通过串口控制流水灯流动和停止的程序。& & 6、完成通过串口实现蜂鸣器响的程序。
 分享给同行
 阅读了,谢谢分享!
谢谢老师拜读了,有汇编语言的实验程序吗?
讲的不错,非常详细!
攻克完红外,有必要学习通信了。
写的真好啊&&好好学习一下。
真是太好的贴子了,受教了
学习了,谢谢
很好,正学这个呢,
虽然 用过硬件UART不过还是皮毛 仅是会用简单的单字节发送、接收!看了楼主的分享受教了,谢谢!
也算是用过UART的人,不过简单应用,最近想写个自定义通讯协议,到处找灵感,在楼主这受教了,对于我这样的菜鸟很受用!!
真的很浅显易懂,谢谢分享。
不错,真是不太了解UART
Powered by

我要回帖

更多关于 苹果数字信号怎么弄 的文章

 

随机推荐