Fpga verilog lcd1602语言 lcd液晶屏显示问题

网站已改版,请使用新地址访问:
FPGA-verilog-LCD-display 实现LCD显示文字,是利用 HDL 的,非常适合初学者,简单易懂。可以用来 VHDL-
238万源代码下载-
&文件名称: FPGA-verilog-LCD-display
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 412 KB
&&上传时间:
&&下载次数: 32
&&提 供 者:
&详细说明:FPGA实现LCD显示文字,是利用verilog HDL实现的,非常适合初学者,简单易懂。可以用来开发与此类似的功能-FPGA Implementation of LCD display text, is implemented using verilog HDL, is ideal for beginners, easy to understand. Can be used to develop and function like this
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&24实验二十四:利用语言实现LCD12864显示汉字&&..........................................\db&&..........................................\..\LCD12864.asm.qmsg&&..........................................\..\LCD12864.asm_labs.ddb&&..........................................\..\LCD12864.cbx.xml&&..........................................\..\LCD12864.cmp.cdb&&..........................................\..\LCD12864.cmp.hdb&&..........................................\..\LCD12864.cmp.kpt&&..........................................\..\LCD12864.cmp.logdb&&..........................................\..\LCD12864.cmp.rdb&&..........................................\..\LCD12864.cmp.tdb&&..........................................\..\LCD12864.cmp0.ddb&&..........................................\..\LCD12864.cmp2.ddb&&..........................................\..\LCD12864.db_info&&..........................................\..\LCD12864.eco.cdb&&..........................................\..\LCD12864.fit.qmsg&&..........................................\..\LCD12864.hier_info&&..........................................\..\LCD12864.hif&&..........................................\..\LCD12864.lpc.html&&..........................................\..\LCD12864.lpc.rdb&&..........................................\..\LCD12864.lpc.txt&&..........................................\..\LCD12864.map.cdb&&..........................................\..\LCD12864.map.hdb&&..........................................\..\LCD12864.map.logdb&&..........................................\..\LCD12864.map.qmsg&&..........................................\..\LCD12864.pre_map.cdb&&..........................................\..\LCD12864.pre_map.hdb&&..........................................\..\LCD12864.rtlv.hdb&&..........................................\..\LCD12864.rtlv_sg.cdb&&..........................................\..\LCD12864.rtlv_sg_swap.cdb&&..........................................\..\LCD12864.sgdiff.cdb&&..........................................\..\LCD12864.sgdiff.hdb&&..........................................\..\LCD12864.sld_design_entry.sci&&..........................................\..\LCD12864.sld_design_entry_dsc.sci&&..........................................\..\LCD12864.smp_dump.txt&&..........................................\..\LCD12864.syn_hier_info&&..........................................\..\LCD12864.tan.qmsg&&..........................................\..\LCD12864.tis_db_list.ddb&&..........................................\..\prev_cmp_LCD12864.asm.qmsg&&..........................................\..\prev_cmp_LCD12864.fit.qmsg&&..........................................\..\prev_cmp_LCD12864.map.qmsg&&..........................................\..\prev_cmp_LCD12864.qmsg&&..........................................\..\prev_cmp_LCD12864.tan.qmsg&&..........................................\incremental_db&&..........................................\..............\compiled_partitions&&..........................................\..............\...................\LCD12864.root_partition.map.kpt&&..........................................\..............\README&&..........................................\LCD12864.asm.rpt&&..........................................\LCD12864.cdf&&..........................................\LCD12864.done&&..........................................\LCD12864.dpf&&..........................................\LCD12864.fit.rpt&&..........................................\LCD12864.fit.smsg&&..........................................\LCD12864.fit.summary&&..........................................\LCD12864.flow.rpt&&..........................................\LCD12864.map.rpt&&..........................................\LCD12864.map.smsg&&..........................................\LCD12864.map.summary&&..........................................\LCD12864.pin&&..........................................\LCD12864.pof&&..........................................\LCD12864.qpf&&..........................................\LCD12864.qsf&&..........................................\LCD12864.qws&&..........................................\LCD12864.sof&&..........................................\LCD12864.tan.rpt&&..........................................\LCD12864.tan.summary&&..........................................\LCD12864.v&&..........................................\LCD12864.v.bak&&..........................................\LCD12864_assignment_defaults.qdf
&近期下载过的用户:
&相关搜索:
&输入关键字,在本站238万海量源码库中尽情搜索:
&[] - lcd驱动程序 verilog版 初学者适用
&[] - fpga 控制lcd12864显示控制、VHDL语言编写,并且在EP2C5T144C8上运行通过!
&[] - 友达6.5寸工业液晶屏详细资料,在6A系统音视频显示终端的应用
&[] - TFT lcd controller verilog code using ALTERA fpga.
&[] - fpga的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,lcd,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。
③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE)
&[] - 用Verilog写得fpga实现lcd12864的控制程序,在Quartus环境下调试通过
&[] - lcd显示实验。要求熟悉LCD显示的驱动原理,在实验板的LCD显示屏上显示“fpga”,并且尝试任意字符的显示方法,动态显示的设置。
&[] - 利用fpga,结合NIOS方案,驱动TFT4.3寸屏并显示。
&[] - verilog HDL语言编写的完整工程,功能是点亮1602lcd,在lcd上显示英文和数字[FPGA][Verilog]用串口控制的LCD液晶显示&转&
程序来自,里面有讲解,非常详细
该程序需要上面的串口接收程序配合
波特率9600,晶体40MHz,2402液晶下测试通过
首先用串口调试精灵发送16进制代码“”初始化LCD
然后就可以发送自己喜欢的代码了
这种把命令分开的形式相当不错,只要是标准接口,12864也可以驱动
也可以再用一块单片机给FPGA的串口送数据来驱动
module lcd(clk, RxD, LCD_RS,
LCD_RW, LCD_E, LCD_DataBus);
input clk, RxD;
output LCD_RS, LCD_RW, LCD_E;
output [7:0] LCD_DataB
wire RxD_data_
wire [7:0] RxD_
async_receiver deserialer(.clk(clk), .RxD(RxD),
.RxD_data_ready(RxD_data_ready), .RxD_data(RxD_data));
assign LCD_RW = 0;
assign LCD_DataBus = RxD_
wire Received_Escape =
RxD_data_ready & (RxD_data==0);
wire Received_Data = RxD_data_ready &
(RxD_data!=0);
always @(posedge clk) if(Received_Data | (count!=0)) count
&= count + 1;
// activate LCD_E for 10 clocks, so
at 40MHz, that's 10x25ns=250ns
reg LCD_E;
always @(posedge clk)
if(LCD_E==0)
LCD_E &= Received_D
LCD_E &= (count!=10);
always @(posedge clk)
if(LCD_instruction==0)
LCD_instruction &= Received_E
LCD_instruction &= (count!=11);
assign LCD_RS =
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。随笔 - 144
评论 - 385FPGA芯片Verilog_HDL语言编写_LCD12864图片+字符显示_文档库
文档库最新最全的文档下载
当前位置: & FPGA芯片Verilog_HDL语言编写_LCD12864图片+字符显示
FPGA芯片Verilog_HDL语言编写_LCD12864图片+字符显示
电气系2011级通信技术一班
钟乾鹏 刁丽
目录 ........................................................................... 1
一、引言 ....................................................................... 2
1.1课题设计 ............................................................. 2
二、点阵式液晶显示控制模块的原理介绍 ........................................... 3
2.1点阵式液晶显示控制模块基本原理 .......................................... 3
2.1.1 显示控制模块的基本原理 ............................................ 3
2.1.2显示控制模块的原理框图 ............................................. 3
2.2系统硬件介绍 ............................................................ 4
2.2.1 TG12864E液晶显示器 ................................................ 4
FPGA芯片的介绍 ................................................... 7
Verilog HDL语言介绍 .............................................. 7
三、液晶显示控制模块的程序设计 ................................................. 8
3.1Quartus II软件的简单介绍 ................................................ 8
3.2模块的原理框图 .......................................................... 8
3.3 主显示控制模块的设计 .................................................... 9
3.3.1介绍将要用到的引脚 ................................................. 9
3.3.2分频程序的设计 .................................................... 10
3.3.3状态机的设计 ...................................................... 10
3.4ROM 模块的设计 .......................................................... 12
3.4.1图片显示 .......................................................... 12
3.4.2文字显示(扩展) .................................................. 17
四、结论 ...................................................................... 19
五、 参考文献 ................................................................. 19
六、附录 ...................................................................... 20
6.1图片显示程序 ........................................................... 20
6.2字符显示程序 ........................................................... 23
七、专用周总结 ................................................................ 32
7.1钟乾鹏 学号:
............................................. 32
............................................. 33
指导老师:龚德宝
课题:LCD 显示
Word文档免费下载:
(共31页)
FPGA verilog LCD12864显示程序_电子/电路_工程科技_专业资料。module lcd12864(...FPGA芯片Verilog_HDL语言... 31页 7下载券 FPGA驱动LCD12864程序 3页 1下载券...FPGA 实现 12864 液晶的静\动态显示 摘要 本文使用 FPGA 技术实现,通过控制液晶屏的地址,将汉字、 字符或图形 输入到液晶屏上,通过连续几屏显示实现动态效果。 ...基于FPGA的LCD12864液晶汉字显示设计 及VHDL语言的应用...控制芯片和显 示器件,而如果用单片机的设计方法实现...支持原理图、VHDL、 VerilogHDL以及AHDL(Altera ...FPGA 实现 12864 液晶的静\动态显示 摘要 本文使用 fpga 技术实现,通过控制液晶屏的地址,将汉字、 字符或图形输入到液晶屏上,通过连续几屏显示实现动态效果。 ...基于FPGA的LCD12864汉字显示设计_工学_高等教育_教育...本设计通过编写状态机的VHDL语言来完成控制部分。状态...使用的软件是 QUARTUS II 9.1,可以用Verilog语言,也...module lcd_time_key(clk0,clk1,rst,key4,key3,key2,key1,key0, month,day,hour,min,sec); input clk0,clk1,rst,key4,key3,key2,key1,key0; ...; next&= end //上面是在这 12 个状态中要显示的字符 FPGA GOOD!! ...实现在 fpga 开发板的 lcd 上显示英文字体,用 Quatusii 进行 verilog 语言的...LCD_RS, //LCD的寄存器选择输出信号 output LCD_RW, //LCD的读、写操作选择...FPGA芯片Verilog_HDL语言... 31页 5下载券 ST7920 LCD12864液晶显示... 20页...非常详细的verilog写的LCD1602驱动_电子/电路_工程...编写模块验证仿真,还能在FPGA上进行验证与实现 ...需要在 1602 显 示什么字符自己改显示函数就行,...基于FPGA的LCD12864汉字显... 31页 20财富值 基于...彩过滤器过滤光源,在平面面板上产生图像的数字显示器...FPGA对LCD的控制,在LCD模块上实现任意中英文字符如 ...在线时间2216 小时
威望6291分
芯币11531枚
E金币669枚
TA的帖子TA的资源
五彩晶圆(高级), 积分 6291, 距离下一级还需 3709 积分
五彩晶圆(高级), 积分 6291, 距离下一级还需 3709 积分
果断收藏,好东西啊,等忙完了,试试FPGA驱动12864.
在线时间79 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
挺牛逼了楼主
在线时间210 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 173, 距离下一级还需 27 积分
一粒金砂(中级), 积分 173, 距离下一级还需 27 积分
其实这样显示,很不方便的。
就是说如果现在显示的是“EEWOLD”,要把它转为HELLO!,就要改六次(分别是六行代码的字符)。
不像C语言那样,定义字符数组,一次改好。
那就定义字符串,按位显示&
在线时间3388 小时
威望3812分
芯币22270枚
E金币255枚
TA的帖子TA的资源
回复 4楼k 的帖子
那就定义字符串,按位显示
在这里,verilog比VHDL方便,有隐式的类型转换,VHDL要自己写个函数。&
在线时间210 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 173, 距离下一级还需 27 积分
一粒金砂(中级), 积分 173, 距离下一级还需 27 积分
回复 5楼白丁 的帖子
在这里,verilog比VHDL方便,有隐式的类型转换,VHDL要自己写个函数。
在线时间1081 小时
威望2298分
芯币1456枚
TA的帖子TA的资源
五彩晶圆(初级), 积分 2298, 距离下一级还需 1202 积分
五彩晶圆(初级), 积分 2298, 距离下一级还需 1202 积分
提供一个思路。
用户显示需求 &-& 双口RAM&&&-& 12864控制逻辑 &-& 12864
这样代码就有实用意义了。
非常感谢提供思路&
在线时间3388 小时
威望3812分
芯币22270枚
E金币255枚
TA的帖子TA的资源
回复 7楼deweyled 的帖子
非常感谢提供思路
在线时间218 小时
威望2191分
芯币5016枚
E金币125枚
TA的帖子TA的资源
五彩晶圆(初级), 积分 2191, 距离下一级还需 1309 积分
五彩晶圆(初级), 积分 2191, 距离下一级还需 1309 积分
经典的显示思路!发挥FPGA的绝对优势!!
用户显示需求(API) &-& 双口RAM 12864控制逻辑(HAL Drivers) &-& 12864(HardWare)
赞一个!!
Blog:.cn/space-uid-390804.html
在线时间0 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
在线时间0 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
一粒金砂(中级), 积分 10, 距离下一级还需 190 积分
在线时间3528 小时
威望5155分
芯币12071枚
E金币218枚
TA的帖子TA的资源
&&写的不错
但还是要注意发挥fpga的长处哦
生活就是油盐酱醋再加一点糖,快活就是一天到晚乐呵呵的忙
===================================
做一个简单的人,踏实而务实,不沉溺幻想,不庸人自扰
在线时间3528 小时
威望5155分
芯币12071枚
E金币218枚
TA的帖子TA的资源
kdy给的思路非常好,这就是所谓的 方法和思路, 往往比具体的实现更有价值
生活就是油盐酱醋再加一点糖,快活就是一天到晚乐呵呵的忙
===================================
做一个简单的人,踏实而务实,不沉溺幻想,不庸人自扰
在线时间24 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 4, 距离下一级还需 1 积分
一粒金砂(初级), 积分 4, 距离下一级还需 1 积分
FPGA 12864
想法很好 不晓得实际怎么样
在线时间40 小时
TA的帖子TA的资源
一粒金砂(中级), 积分 30, 距离下一级还需 170 积分
一粒金砂(中级), 积分 30, 距离下一级还需 170 积分
学习了,,fpga还没入门
在线时间4 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
回复 6楼k 的帖子
在线时间912 小时
芯币2487枚
TA的帖子TA的资源
一粒金砂(高级), 积分 338, 距离下一级还需 162 积分
一粒金砂(高级), 积分 338, 距离下一级还需 162 积分
好东西&&支持 一下
我从不担心我努力了不优秀,只担心优秀的人都比我更努力。如果你无法忍受孤独,就不要追逐梦想。每一个优秀的人,都有一段沉默的时光。在那一段时光,你付出了很多努力,忍受孤独和寂寞,不抱怨不诉苦,最后渡过了这
在线时间62 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
很稳定!!为了让自己写的代码运行稳定应注意哪些基本事项呢
在线时间5 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
一粒金砂(初级), 积分 0, 距离下一级还需 5 积分
在线时间232 小时
威望4207分
芯币1596枚
TA的帖子TA的资源
五彩晶圆(中级), 积分 4207, 距离下一级还需 1793 积分
五彩晶圆(中级), 积分 4207, 距离下一级还需 1793 积分
跟着楼主学习了,刚开始接触VHDL,EP1C6~~
荣誉会员勋章
曾经的版主且威望大于2000,或对EEWORLD论坛有突出贡献的坛友
论坛测评队员
EEWORLD 官方微信
Powered by

我要回帖

更多关于 verilog lcd12864 的文章

 

随机推荐