三个数字相加等于30电子问题 为什么K2等于Q0而不是Q1

数电实验教材-海文库
全站搜索:
您现在的位置:&>&&>&教学案例/设计
数电实验教材
数字电子技术实验
华南理工大学数字电子技术实验室编
数电实验网站:http://218.192.167.149请登录实验室网站查看实验安排的相关通知,下载教学课件同学对本课程有任何问题和建议请在实验网站留言板给我们留言
第1章 数字电子技术的基本实验技术与方法.............................................................................11.1 操作规程............................................................................................................................11.1.1实验预习..................................................................................................................11.1.2实验操作..................................................................................................................11.1.3实验报告..................................................................................................................21.2 课程学习的目标................................................................................................................21.3 脉冲信号常用参数的测量方法.......................................................................................21.3.1 用TDS-2002数字示波器测量..............................................................................21.3.2 虚拟仪器DSO25216的示波器测量.....................................................................31.4 二进制信号........................................................................................................................61.4.1 二进制直流信号.....................................................................................................61.4.2 二进制脉冲信号.....................................................................................................71.5 数字电路同步多路波形的测量........................................................................................71.5.1 用双踪示波器测量同步波形的原理.....................................................................71.5.2 用双踪示波器进行多波形测量的方法.................................................................81.5.3 用虚拟仪器DSO25216的逻辑分析仪进行多波形测量的方法.........................81.5.4 未知电路的多波形测量及应用.............................................................................91.6 几种基本电路的测试方法..............................................................................................101.6.1 集成逻辑门电路...................................................................................................101.6.2 集成触发器电路...................................................................................................101.6.3 计数器电路...........................................................................................................101.6.4 译码显示电路.......................................................................................................111.7 数字电路的安装与调试..................................................................................................111.8 数字电路常见故障检测和排除......................................................................................131.9 TTL器件和CMOS器件的使用.....................................................................................151.9.1 TTL器件使用规则...............................................................................................151.9.2 CMOS器件使用规则...........................................................................................161.10 逻辑可编程器件............................................................................................................201.10.1 MAX Ⅱ的特点..................................................................................................201.10.2 几种常用的MAX Ⅱ器件的参数.....................................................................211.10.3 .MAX Ⅱ器件的命名.........................................................................................21第2章 Quartus? II软件和数字逻辑实验箱使用介绍...............................................................232.1 Quartus? II软件的使用介绍......................................................................................232.1.1 概述.......................................................................................................................232.1.2 安装.......................................................................................................................232.1.3 Quartus II的使用..................................................................................................282.2 Quartus II元件库中常用的元件名称..............................................................................472.3 DLBS-1型数字逻辑实验箱使用介绍............................................................................492.3.1 实验箱总体布局:...............................................................................................492.3.2 实验箱主要功能模块...........................................................................................49第3章 部分数字单元电路的设计与实现方法...........................................................................553.1组合逻辑电路..................................................................................................................553.1.1 组合逻辑电路设计方法.....................................................................................55I
3.2 触发器电路.....................................................................................................................573.2.1 JK触发器转换为D触发器.................................................................................573.2.2 D触发器转换为JK触发器..................................................................................583.3 时序逻辑电路.................................................................................................................583.3.1 用触发器设计同步计数器设计方法...................................................................583.3.2 用集成计数器设计不同模的计数器的方法.......................................................60第4章 实验...................................................................................................................................634.1基础实验..........................................................................................................................634.1.1 CPLD基础实验――码制转换电路的分析及测试.............................................634.1.2 门电路...................................................................................................................754.2 组合逻辑电路..................................................................................................................814.2.1 译码器和数据选择器的功能测试及应用.........................................................814.2.2 裁判表决电路.....................................................................................................854.2.3 码制转换电路的设计.........................................................................................864.2.4 优先报警电路的设计.........................................................................................894.2.5 循环码转换为BCD码的转换电路的设计及其显示电路.................................914.2.6 发电机控制电路的设计.....................................................................................934.2.7 组合电路中的竞争与冒险的研究.....................................................................954.2.8 共阳数码管显示电路.........................................................................................974.3 时序逻辑电路...............................................................................................................1004.3.1 触发器的测试及其应用...................................................................................1004.3.2 四人智力抢答电路...........................................................................................1044.3.3 时序逻辑器件功能测试及其简单应用...........................................................1064.3.4 简易交通灯控制电路的设计...........................................................................1114.3.5 彩灯流水电路的设计.......................................................................................1164.3.6 彩灯系统循环电路...........................................................................................1174.4 脉冲电路.......................................................................................................................1194.4.1 集成器件脉冲电路...........................................................................................1194.4.2 时基电路555的应用电路的设计与测试.......................................................1224.5 模-数和数-模转换电路...............................................................................................1254.5.1 A/D转换器的测试............................................................................................1254.5.2 D/A转换器的测试及应用................................................................................1284.6 存储器...........................................................................................................................1344.6.1 存储器RAM和ROM的测试...............................................................................1344.7 综合电路.......................................................................................................................1394.7.1 加法计数电路的设计.......................................................................................1394.7.2 简易直流数字电压表的设计...........................................................................141 附录..............................................................................................................................................143常用集成器件管脚排列图...................................................................................................143 实验报告样式.......................................................................................................................151II
第1章 数字电子技术的基本实验技术与方法1.1 操作规程实验教学的目的不仅在于消化、巩固理论教学的知识,更重要的是要通过实验来提高实验者分析、解决实际问题的能力和树立诚实的科学工作作风。特别是工科专业的学生,为把所学的知识应用于实际,更应该踏踏实实,一步一个脚印地完成本环节的学习任务,为后继课程的学习和以后的工作打牢自己的知识基础。要完成好本环节的学习内容,实验前应该如何进行准备,实验操作中要注意什么,实验后怎么去分析、总结和写出符合要求的实验报告等,下面就这些问题分实验预习、实验操作和实验报告三个方面的意义、具体做法作出说明。1.1.1实验预习1.认真做好实验前的预习,可以使实验者心中有数地、高效、有目的地进行实验操作。通过预习,在认真阅读指定实验的内容及相关的理论知识、所用仪器的操作方法之前提下,要准备一份具有自我指导作用的预习报告,包括实验者的姓名、班级、所坐位置的台号、实验名称、实验目的、实验日期、所用仪器名称及其型号、列出有关数据记录表格和画出有关波形记录的坐标的实验原始记录单等。预习报告要简洁明了,有条理。2. 预习报告不是简单地抄写书上的内容,而是要理解与实验内容相关原理,明确其目的,理顺将要进行的实验的来龙去脉,也就是预先作好自我安排,只有这样,才能达到事半功倍的效果。3.对于设计性实验,要写出详细的设计过程,画出实验原理图和接线图,准备好实验原始记录单,对关键的实验及仪器的操作步骤亦可简要列出。4.实验原始记录单很重要,是重复验证该实验的依据。因为实际的工程、科学实验具有可重复性,当怀疑实验结果的准确性时,可以按照记录的仪器型号、编号、相同的实验条件再次进行实验,查明是仪器还是人为造成的原因,另外减少不必要的麻烦和纠纷。实验原始记录单在做完实验检查无误后记得带回,以免影响写总结报告时的数据处理。1.1.2实验操作到实验室,实验者是主角,应该对整个实验过程有个通盘地考虑,切忌忙乱、顾此失彼,故应该做到:1.检查该次实验所需的器材是否满足,如仪器、元器件等,记录其型号、编号。2.接线时应该关闭电源,看清楚器件的型号、管脚排列,接完线检查无误后才能通电测试,对于比较大型的实验,应该遵循先调试前级,后调试后级,先调试子系统,后调试整机电路的原则,切忌一口气把所有的线都接完,这样会增加检查故障的难度和复杂性。3.实验过程中,指导教师除实验开始前作必要的讲解和指导外,一般只处理仪器设备和元件的故障问题。实验者碰到的其它问题,都要靠自己去认真分析解决,指导教师最多只给点提示,动口不动手。4.出现故障时,应该要有目的、有方法地去排除,可参考本书第二章内容,千万不要立即拔掉线重新接,因为这样做,可能原来的没有排除,还增加了新的故障点。5.要记得记录实验结果,包括数据、波形、波形参数和实验现象,判断其正确性,如果有1
怀疑,应该立即查找原因,不能够编制实验数据或实验结果,或没有记录完整就离开。当检查无误、经老师确认后才拆线。1.1.3实验报告实验报告是对实验的总结提高,缺乏一份科学的有理有据的实验报告的实验,没有什么意义和收获。在工程、科学实践中,实验报告是鉴定、改进产品和进行科学论证的重要依据,所以应该予以高度重视。实验报告不是实验原始记录单,也不是原始记录的简单复制,它应该源于实验而又高于实验,也就是说,实验报告既要忠实地、科学地反映实验结果,也要通过对实验结果的分析讨论,得出相应的结论和提出必要的改进建议。故要求做到写出:1.实验的名称及日期、目的,器材记录、原理推导2.实验者的姓名、单位、所坐的台号3.实验任务的逻辑电路及其数据、图表、曲线的整理,要注意物理的单位,坐标要标好刻度。4.对实验结果与技术理论要求的比较及对异常现象的分析讨论5.对实验结果的评价及实验的体会与建议6.报告要力求条理消晰,数据分明7.每项实验报告均要对页码进行编号,每页要注明“共×页,第×页”以上只是对进行实验需要注意的事项和要求做简单介绍,对于有关具体的实时要求或通知,可登陆实验室网站:http://218.192.167.149查询。总之,会准备、会操作、会总结、才是一个合格的实验者。1.2 课程学习的目标通过本课程的学习,要求实验者能够达到如下目标:1.掌握本课程的电路设计和测试方法,同时掌握与本课程相关的实践技能;2.在理解和完成要求的实验内容的基础上,能够拓展到电路设计的应用中;3.掌握传统的电路设计方法,把重点转移到现代的计算机辅助电路设计。1.3 脉冲信号常用参数的测量方法脉冲信号常用参数有高电平、低电平、幅度、周期、脉冲宽度、上升时间和下降时间等,一般用示波器来测量,不同类型的示波器,其操作略有差异,下面以TDS-2002数字示波器和虚拟仪器DSO25216的示波器介绍对1000Hz脉冲信号的高电平、低电平、幅度、周期、脉冲宽度的测量方法。1.3.1 用TDS-2002数字示波器测量用TDS-2002数字示波器测量信号参数有自动菜单和手动测量两种,前者比较简单,但测量的参数必须是厂家在菜单中预置项目,后者相对复杂些,但可以测量信号波形上任意点的参数,它主要用示波器的光标功能。将1000Hz脉冲信号输入示波器其中一个通道,如CH1,注意信号从探头输入,示波器的地与被测信号源的地要连接。把示波器显示的波形调节稳定,按一下TRIG MANU菜单,将触发源设置为CH1,再2
调节TIGGER LEVEL触发电平旋钮,使波形稳定地显示,一般按一下AUTOSET按钮也可以使波形自动稳定地显示。对于测量电平,需要把示波器的输入耦合方式设置直流耦合,方法是按一下CH1 MANU通道菜单按钮,把耦合设置为直流。按一下CUSOR按钮,把菜单中的类型设置为电压,调出两条水平光标线,此时调节示波器的垂直位移旋钮可以移动光标线,CHI移动光标1,CH2移动光标2,如光标1移到波形的高电平位置,那么光标1菜单中显示的电压值就是高电平值,光标2移到波形的低电平位置,光标2菜单中显示的电压值就是低电平值,在菜单中增量显示的值就是高电平与低电平的差值,即幅度。把光标菜单中的类型设置为时间,可以调出两条垂直光标线,此时调节示波器的垂直位移旋钮可以移动光标线,使它们停留在被测波形的两个同相点上,此时在菜单中增量显示的值就是周期,如果波形的高电平线在两条光标线之间,则显示的增量值就是脉冲宽度,脉冲宽度与周期之比为占空比。1.3.2 虚拟仪器DSO25216的示波器测量在开始菜单启动DSO25216虚拟仪器的操作窗口,如图1-3-1图1-3-2所示。
图1-3-1启动DSO25216虚拟仪器的菜单3
图1-3-2 DSO25216虚拟仪器的操作窗口将1000Hz脉冲信号输入示波器其中一个通道,如A1,注意信号从探头输入,虚拟仪器的地与被测信号源的地要连接。在中把探头设为1:1,耦合设为DC,灵敏度伏/格设为2V,速率设为20KSa,如图1-3-3所示。
图1-3-3 操作设置栏的设置设置要显示的测量参数,可以打开设置菜单,如图1-3-4所示,就出现测量项目选项卡,把需要显示的参数的复选框,如图1-3-5所示,然后按OK按钮,接着在窗口菜单中打开测量参数显示窗口,如土图1-3-6所示。4
图1-3-4 打开设置测量参数菜单
图1-3-5 测量项目选项卡5
图1-3-6 窗口选择菜单按上述设置完毕后,用鼠标点击一下GO按钮,就可以把要测量的参数显示出来,此时,波形和参数显示窗口处于动态状态,只要用鼠标再点击一下GO按钮,就可以使其停止,记录参数或波形,如图1-3-7所示。对于测量其它频率的信号,操作基本相同,只要把速率改为被测信号频率数值的20倍或50倍即可,如10KHz,速率改为200KSa或500KSa。
图1-3-7 测量的参数显示1.4 二进制信号二进制信号是测量、分析数字电路的有力工具, 掌握好这种信号的特点及应用, 对提高数字电路的测量、分析水平有很大帮助。二进制信号, 包括二进制直流信号和二进制脉冲信号,所谓二进制信号就是指测量时输入的各路信号组合符合二进制数的规律, 其各位权值分别是 2n。1.4.1 二进制直流信号二进制直流信号是指其值是直流 ,符合二进制数的规律,就逻辑值而言,只有0和1,,如用真值表1-4-1描述组合电路的静态功能,在每次的输入和输出信号都属于二进制直流信6
号,是静态的,可以用逻辑笔、万用表或示波器测量。表1-4-1组合电路的静态功能表次序C1 2 3 4 5 6 7 80 0 0 0 1 1 1 1输入 B 0 0 1 1 0 0 1 1A
0 1 0 1 0 1 0 1输出 F 0 0 0 0 0 0 0 1二进制直流信号可以测量组合电路的静态功能 , 但它不能测量电路的动态特性。也就是说无法观察到电路是否有延时和冒险 , 只能是一个功能测量。 1.4.2 二进制脉冲信号二进制脉冲信号是指各路信号是脉冲信号 , 并且信号组合符合二进制数的规律 ,如图 1-4-8 所示是某组合电路的输入与输出脉冲信号波形,CBA是C、B、A波形的组合二进制码。
图 1-4-8 组合电路的输入与输出脉冲信号波形二进制脉冲信号可以测量组合、时序电路的动态特性 , 从电路的输入、输出的脉冲波形可分析出电路所完成的功能 , 并可观察到电路是否有延时和冒险等。对于同一数字电路,其输入与输出的二进制直流信号和二进制脉冲信号,也就是静态逻辑功能和动态逻辑功能,除了由于输入信号变化的频率不同带来的延时所引起的冒险程度不同外,其对应关系是唯一的,这种关系由该电路决定,简而言之,数字电路的动态波形与静态真值表、状态转换表是相互对应的,如表1-4-1与图1-4-8都是对同一组合电路的功能描述。1.5 数字电路同步多路波形的测量1.5.1 用双踪示波器测量同步波形的原理当对数字电路进行动态测量时, 为了分析电路的逻辑功能, 需要测量多个输入、输出脉冲信号的同步波形,必须使每路波形都能够稳定的显示。要做到这一点,若用双踪示波器同时观测这种多路脉冲信号, 并要保证各路信号彼此之间正确的相位关系, 就应选择一个合适的内触发信号, 用来作示波器锯齿波时基信号的同步信号, 并且要使示波器 X 偏转板所加扫描电压周期 Tx 与 Y 偏转板上所加的被测信号周期 Ty 成整数倍, 也就是说要使内触7
发信号的周期大于或等于被测信号周期的整数倍。只有这样用双踪示波器才能观察到稳定、正确的多路脉冲信号。例如:观测 A、 B 两路脉冲信号,B 是 A 的二分频。根据上述原则用B作内触发信号,设锯齿波和B的周期比为 1:1, 从图1-5-1可看出锯齿波的周期等于 B 的一个周期 , 而且等于A的两个周期,均为整数倍的关系。这样观测信号, 波形将稳定可靠地显示在屏幕上 , 而且彼此之间的相位不会错。若用 A 作内触发信号 , 从图1-5-2 可看出锯齿波的一个周期等于A 的1个 周期 , 而并没有包括B的一个周期, 不符合上述原则, 所以从示波器上观察到 B波形的相位很可能是错误的,甚至B没法稳定地显示。
图1-5-1锯齿波的周期等于B
的一个周期
图1-5-2锯齿波的周期等于A的一个周期从上述的分析可以看出 , 用双踪示波器测量具有倍数关系的被测信号时, 应用频率低的信号作为内触发信号, 也就是示波器的触发源信号应该选择频率低、规则的信号,这样才能保证正确地显示出被测信号。1.5.2 用双踪示波器进行多波形测量的方法用双踪示波器观测一个四位二进制计数器的 CLK、QA、QB、QC、QD,根据上述原则,先用示波器 CH1 通道和CH2通道同时观测频率最低和频率最高的信号QD和CLK,用 QD 作为内触发信号,假设QD接人CH1,那么示波器的触发源信号就选择CH1,调节示波器稳定地显示波形,并且一个CLK周期占示波器显示窗口刻度格一大格,便于撤掉CH2的CLK后测量其它信号时画波形,如图1-5-3所示。当QD和CLK的波形测量和绘制完成后,要测量和绘制其余的QA、QB、QC 波形,必须撤掉CH2的信号,依次用CH2去测QA、QB、QC,CH1在整个测量过程中始终与QD保持连接,而且在QD和CLK调到合适观察后不能再去调节示波器的任何一个地方,另外,在每次画波形时,起点应该在同一垂直线上, 这样才能保证画出来的波形彼此之间的相位关系正确,当然,为了提高测量效率和波形的准确度, 有条件可采用逻辑分析仪进行观察和测量。
图1-5-3四位二进制计数器的波形1.5.3 用虚拟仪器DSO25216的逻辑分析仪进行多波形测量的方法前面介绍的用示波器对多路信号的波形进行观察和测量,操作相对比较复杂,如果用逻辑分析仪则方便和准确许多,对于虚拟仪器DSO25216的逻辑分析仪,使用更是简单,只要8
把Logic Pod模块的数据线插到主机壳的CH0~15的接口,Logic Pod模块的输入线0~15接到被测量的信号,GND接到被测信号源的地,然后在操作界面点击一次GO按钮,就可以观察到被测信号的波形。如图1-5-4所示,注意如果波形显示的长短不合适,可以通过改变速率或缩放来调节,速率一般是被测信号最高频率数值的20倍或50倍,如1KHz,速率则为20KSa或50KSa。要使用波形稳定地显示,可以再点击一次GO按钮。
图1-5-4逻辑分析仪测量的多路波形1.5.4 未知电路的多波形测量及应用在实际工作中, 往往会遇到一些电路, 其内部结构难以得知, 而又要了解它的功能, 这时可以通过测量、分析其外特性来实现。对于数字电路而言,首先应该确定输入、输出端及输入信号的形式、工作电源值,用示波器一个通道试探性地观测输入、输出端的大概波形,然后按照多波形测量方法,测量并记录输入、输出端波形,根据波形写出真值表或状态表。再根据真值表或状态表写出逻辑函数式。如某电路板,有二个输入端A和B、一个输出端F, 工作电源值+5V,如果没有逻辑分析仪,可以用示波器一个通道试探性地观测输入、输出端的大概波形是B的周期与F 相同,B的占空比接近0.5,F远大于0.5,它们的周期都为A的两倍,按照示波器的多波形测量方法,选择B作为触发信号,即同步信号最合适,测量得到的波形如图1-5-5所示,根据波形列真值表如表1-5-1所示,根据真值表写出逻辑表达式F=A+B,最后画出该电路板的原理9
图如图1-5-6所示。注意:观察描绘波形时,状态一定要完整,不能漏掉其中的任何一种,否则,得到的电路不正确。
图 1-5-5电路板的输入、输出波形表1-5-1电路板真值表B A F0 0 00 1 11 0 11 1 1
图 1-5-6.电路板的电路原理图1.6 几种基本电路的测试方法1.6.1 集成逻辑门电路静态时,在各输入端分别接人不同的电平值,即逻辑1和逻辑 0,用数字万用表或逻辑笔、指示灯测量输出端的逻辑电平,分析各逻辑电平值是否符合电路的逻辑关系。动态测试是指各输入端分别接人完整的组合电平脉冲信号,用示波器观测输出端的信号,画出这些脉冲信号的时序波形关系图,分析它们之间是否符合电路的逻辑关系。1.6.2 集成触发器电路静态时,主要测试触发器的复位、置位、翻转功能。动态时,在时钟脉冲的作用下测试触发器的计数功能,用示波器观测电路各处波形的变化情况,据此可以测定输人、输出信号之间的分频关系,输出脉冲的上升和下降时间,触发灵敏度和抗干扰能力以及接人不同性质负载时,对输出波形参数的影响。测试时,触发脉冲的宽度一般要大于数微秒,且脉冲的上升沿或下降沿要陡。1.6.3 计数器电路计数器电路的静态测试主要是测试电路的复位、置位功能及各 MSI 电路使能端的电平
是否正常。动态测试是指在时钟脉冲作用下测试计数器各输出端的状态是否满足计数功能表的要求,可用示波器观测各输出端的波形,并记录这些波形与时钟脉冲之间的波形关系。1.6.4 译码显示电路首先测试数码管各笔段工作是否正常,如共阴型的数码管,可以将阴极接地,再将各笔段输入端通过 lk?电阻接电源+5V, 各笔段应亮;对于共阳型的数码管,则阳极接+5V,输入端通过 lk?电阻接地;译码器的测试可以在其数据输入端依次输入 组合码, 则显示器对应显示出 0~9 数字。译码显示电路常见故障是:1.数码显示器上某字总是亮而不灭,可能是译码器的输出幅度不正常或译码器的工作不正常。2.数码显示器上某字总是不亮,可能是数码管或译码器的连接不正确或接触不良。3.数码管字符显示模糊,而且不随输入信号变化,可能是译码器的电源电压不正常,或连线不正确,或接触不良。1.7 数字电路的安装与调试数字电路的安装与调试过程是检验、修正设计方案的实践过程 , 也是应用理论知识来 解决实践中各类问题的关键环节, 是数字电路设计者必须掌握的基本技能。下面介绍数字 电路安装与调试中的一些常用方法。1.实验电路承载体应根据实验使用的信号频率选择实验电路承载体。 一般面包板适于做低频实验,含有高达几十兆赫兹以上频率信号的实验不应用面包板, 而应用高频纤维板, 电路间的连接应采用焊接方式。2.直流电源的使用 在做实验时, 使用数字电路所连接的直流电源应当注意以下事项:(1)调准直流电源输出电压连接直流电源前应按电路工作电压要求,调准直流电源输出电压,因为直流电源输出电压超规定标准或者欠规定标准, 电路都不能正常工作。(2)直流电源接入电路前应测量电路电源端和地端间的电阻是否正常。若电源端和地端间短路而没有被发现,一旦电源接入电路,因电源负载电流过大而损坏,或有过载保护的直流电源就会自动断路,此时整个电路会因无电源而无法工作。因此做实验时,应养成用直流电压源上的电压、 电流表监示电路工作状况的习惯,这样能及早发现电路中产生的电源故障。直流电源处于过载保护时,直流电源的输出电压降为零。要想恢复直流电源的正常工作电压,首先应当排除短路故障,然后再按直流电源的复位键,使电源电压复位。(3)输出功率使用的直流电源的输出功率要满足实验电路的功率要求 。3.脉冲信号源的使用当用脉冲信号源作为时序电路的时钟信号时 , 应满足所用集成芯片对时钟信号的要求。 例如 , 脉冲信号发生器输出信号的电平值。对于标准TTL电路应满足:0?UiL?0.4V2.4V?UiH?5V对于 CMOS 电路应满足:11
0?UiL?0.3VDD0.7VDD?UiH?VDD对于时序电路来说,脉冲信号发生器输出信号的上升沿 Tr 、下降沿 Tf 不应过大,脉冲宽度不应过小,以避免信号过冲, 测量时,脉冲信号线应在直流电源接通电路后再连入电路。连接脉冲信号时,应先接地线,再接信号线。4.连线与布线
做实验时,电路出现故障,很大一部分原因是因为布线问题。为了避免布线错误,布线时一定要认真检查布线是否合理。具体地说,应注意以下问题。(1)数字电路的实验通常在IC插座或面包板上进行,插接集成器件时,器件上表面有半圆缺口标记一端应朝左方,先对准插孔的位置,然后稍用力将其插牢,防止集成器件管脚弯曲或折断。拔出时,必须用专用拔钳工具(U形夹),夹住集成块两头,垂直往上拔起,或用小起子对撬,以免受力不均,使引脚弯曲或折断。(2)连线要尽可能短,过长会引人电磁干扰,最好贴近底板并在集成器件的周围走线,导线不要跨越集成器件的上空,杂乱地在空中搭成网状,尽可能不覆盖连接孔,连线空间尽可能不要太拥挤,避免相互靠近的线产生短路;数字电路的布线应整齐美观,既可提高电路的可靠性,又便于检查排除故障或更换器器件;不要使用平行的交流信号线,以克服串扰;避免连线虚接 (若是焊接连线,则应避免虚焊) 。有条件时,不同的信号线应当采用不同的颜色线以便于区别,建议电源正极连线使用红色线、地线使用黑色线。(3)为便于连接线,在设计电路、画电路原理图时,画出接线电路图,并标出各管脚的管脚号,将所用芯片所有的数据输入、使能、清零、置位,电源、地等端子,以及外部输入信号等等,都要预先标好,为实验布线做好准备。(4)开始实验接线时,一般首先应将电路中所有应接电源和地的线接好,包括数选器的使能端、计数器的计数保持端、触发器的清零、置位端等。然后按信号的输入、输出关系连好电路,需要经常变换的信号线最后接。接好后,对照电路图仔细核对,确信无误后再打开稳压电源,开始实验测试。对于由多个子系统构成的复杂电路,按照电路中信号的流向顺序对所划分的子系统先逐一布线、调试,最后将各子系统连接起来进行实验测试。5.电源耦合问题
数字电路中,集成电路形成的电源尖峰电流在电源内阻上形成内部干扰电压 (即电源耦合)。如果这个干扰信号过大,可能引起电路故障。为了消除电源耦合,可以在电源和地之间接入大电解电容 (10~100μF), 但是由于电解电容的高频特性不好,所以为消除高频噪声,在电源和地之间再接入小电容(0.1 μF 或更小)。例如,用 555 时基电路构造多谐振荡器时,应在电源和地之间接入去耦电容。6.集成电路器件的功能测试
在进行实验时,对所选用的数字集成电路器件,怀疑不能正常工作时,应先对其进行逻辑功能检测,以避免因器件功能不正常市增加调试的困难。检测器件功能的方法是多种多样的,常用如下方法:(1)仪器检测法用数字集成电路测试仪进行检测。(2)功能检查法用实验电路进行逻辑功能测试,如怀疑两输入的与非门不正常,可以在芯片加工作电源后,两个输入端接电平开关,输出接指示灯,拨动电平开关,观察指示灯的状态,看输入与输出是否符合与非关系,从而判断该门是否正常。(3)替代法用被测器件替代正常工作的数字电路中的相同器件。7.数字电路的调试方法12
数字电路的调试顺序也是先调试单元电路或子系统,然后逐渐扩大将几个单元电路进行联调,最后进行整机调试。一般根据信号的流向逐级调试。由于数字电路系统中,相同的单元电路和集成器件往往较多,为了尽快找出故障,常采用以下调试方法:(1)替代法
将已经调整好的单元电路代替有故障或有疑问的相同的单元电路,这样可以很快判断 出故障原因是在单元电路本身,还是在其它的单元或连接线上。当发现某一局部电路有问 题时,应先检查该部分的连线,当确认无误后再更换集成电路芯片。(2)对比法
将有问题的电路的状态、参数与相同正常电路进行逐项对比。(3)对分法
把有故障的电路对分为两个部分,可检查出有问题的那一部分而排除另一部分无故障的电路。然后再对有故障的部分进行对分检测,直到对分找出故障点为止。实践表明,数字单元电路的故障大多都是接线错误或接触不良引起的,集成器件本身的问题是较少的。然而设计者在调试中发现工作不正常时,往往一开始就怀疑集成器件损坏, 这是不可取的。1.8 数字电路常见故障检测和排除在实验过程中,当电路达不到预期的逻辑功能时,就称有故障。产生故障的原因大致有以下几个方面:1.电路设计错误;2.集成器件使用不当和功能不正常;3.布线错误;4.数字逻辑实验箱等仪器和插座板不正常或使用不当。所谓设计错误,在这里不是指电路逻辑设计出错,而是指选用器件和电路各器件之间在时间配合上的错误,也就是理论设计与电路的实际时序存在差异。例如,电路动作的边沿选择与电平选择不恰当;电路延迟时间引起的冒险以及某些器件的控制信号变化,发生在CLK脉冲的边沿,导致逻辑混乱等等。这些因素因电路结构和所用器件的不同而不同,不能够一概而论,下面仅就在电路设计正确前提下,对常见故障进行分析,介绍如何检查和排除的方法:首先,认真仔细地复查。接好全部接线后,对照实验原理图仔细地复查一遍。检查集成芯片正方向是否插对(标记在左边),是否有漏线和错线,是否有两个以上的输出端错误地连在一起等。检查无误(或更正)后再接通电源,看看功能是否正常,如果功能不正常,应先关闭电源,检查实验原理图是否正确,再反复检查是否接错线,而因布线杂乱不便检查,万不得已时,最后才将原有布线全部拔掉,重新布线。若各方面都正确,布线也合理,可采用如下方法检查故障:(1)用指示灯、逻辑笔、万用表或示波器跟踪检查电源、信号是否正常。用万用表直接测量各集成块的工作电源的电压,用示波器、指示灯或逻辑笔检查输入信号、时钟脉冲等是否加到实验电路上,观察输出端有无反应等,并用真值表检查电路是否全部功能正常,这种方法也称静态(或单步工作)测量。若有差错必须重复测试,仔细观察故障现象,然后让电路固定在某一故障状态,用万用表或示波器测试各输入/输出端的直流电平是否符合表2.3的数值范围,从而判断插座板接触不良、集成块引脚或连线等原因造成的故障。
表1-8-1 不同情况引脚电压范围测得电压值 引脚所处状态
输入端悬空 ≈1.4V ≈0V
输入端接低电平 ≤0.4V ≈0V
输入端接高电平 ≥3.0V ≥4.0V输出低电平 ≤0.4 ≈0V输出高电平 ≥3.0V ≥4.0V出现两输出端短路
≈0 V(两输出端状态不≈0.6 V相同时)(2)改变输入端状态,判断故障。如果输出端保持高电平不变,则集成块可能没有接地和接触不良。若输出信号保持与输入信号同样规律变化,则集成块可能没有接电源,如74LS00与非门,没有接电源,其输出一直是高电平。对于触发器,如JK触发器,无论触发输入端如何变化该,触发器始终处于计数状态,则可判断J、K端漏导线,处于开路状态。(3)采用替换法检查故障。对于多个输入端的器件,实际使用中如有多余的,在查故障时可调换其另一个输入端试用。必要时更换器件,以排除器件功能不正常所引起的故障。(4)采用动态进行逐级跟踪检查故障。动态检查是在输入端加一个有规律的信号,按信号流程依次检查各级波形,直到找出故障点为止。例如计数器,若发现计数到某位后不正常,这有两种可能,要么该位触发器本身不正常,要么接该位触发器下一级电路不正常,可单独检查该位触发器,或断开下一级电路进行检查,从而排除出现的故障。(5)断开反馈线检查。对于含有反馈线的闭合电路,应设法断开反馈线,然后对该电路进行的检查,或进行状态预置后再检查故障。(7)消除TTL电路存在电源尖峰电流脉冲的影响。这种尖峰可能会通过电源耦合破坏电路正常工作。只要加滤波电容就可排除这类故障。(8)预防CMOS电路的锁定效应。CMOS电路有一种失效模式――锁定效应,也称可控硅效应,这是器件固有的故障现象,它是由于器件内部存在正反馈,使工作电流越来越大直至发热烧坏。当CMOS器件工作在较高电源电压,或输入/输出信号由于电路上的原因使其高于VDD或低于VSS时,就可能出现锁定效应,因此在电路中应采取措施加以预防,方法如下:
1)电源去耦,加粗地线来减小地线电阻。2)在不影响电路工作情况下尽量降低VDD值。3)在不影响电路工作速度的情况下,使电源允许提供的电流小于锁定电流,一般器件的锁定电流在40mA左右。4)对输入信号进行箝位。(9)电路工作频率较高时,应采取如下措施:1)减小电源内阻,扩大地线面积或采用接地板,使电源线与地线夹在相邻的输入和输出线之间以起屏蔽作用。2)各输入、输出线尽量不要紧靠时钟脉冲线。3)缩短引线长度。4)驱动多路同步电路的时钟脉冲信号,要求各路信号的延时尽可能接近。(10)对于复杂的综合实验,需按方块图有关电路调测后再联调。因这种实验使用集成器件14
较多,可按功能划分为若干独立的子单元,逐一布线调试,最后将各子单元连起来通调,这样成功的把握性大。以上内容是关于实验电路的故障检查与排除,是指在仪器工作正常情况下进行的,其实有时候不是实验电路有故障,而是实验仪器不正常,如电源本身没有输出,指示灯坏了等,前者用万用表,后者输入高、低电平可以很快解决,又如单次脉冲信号没有上升沿和下降沿,则可判断是按键开关坏了,如果是没有防抖动功能,通常是单次脉冲电路中的集成器件坏了等。需要强调指出,正确的接线,不一定有正确的结果,不正确的接线,肯定没有正确的结果,实践经验对于故障排除是有帮助的,其前提是要做好充分预习,掌握好相关的理论知识,熟悉和理解实验电路,用逻辑思维的方法才能够快速而又准确地判断和排除故障。1.9 TTL器件和CMOS器件的使用1.9.1 TTL器件使用规则1.电源要求 TTL电路对电源要求较严格。电源电压VCC=+5V±10%,超过这个范围将缩短器件使用寿命或功能不正常,甚至损坏器件。TTL电路的静态电流比较大,特别是中规模集成电路,最可达几十毫安。因此使用干电池或蓄电池供电不合适,也是不经济的。可使用稳定性好、内阻小、有良好的接地系统的稳压电源供电较好。TTL器件的浪涌电流流经电源内阻将产生电压尖峰,这在电路系统中可能产生较大的干扰,因此有必要在电源输入端接约50μF的电容,以作低频滤波。每隔5~10个集成块应接一个0.01μF~0.1μF的电容,作为高频滤波电容。在使用中规模和高速器件时,还应适当增加高频滤波电容。2.多余输入端处理 不同逻辑功能的数字电路,多余输入端的处理方法不尽相同,对于与非门有以下处理方法。(1)接高电平。当电源在5.5V以内,可直接接VCC,也可串入一只1KΩ~10KΩ的电阻,或者接2.4~4.5V的固定电压。(2)若前级驱动能力强,则可将多余输入端与使用输入端并联使用。对于有些系列的器件则避免这样使用。(3)悬空处理。当TTL器件接入带电系统时,其悬空输入端相当于高电平。对于小规模集成芯片和使用较少器件的电路,实验时可将多余输入端悬空。对于接有长线的输入端和中、大规模集成器件以及使用集成块较多的复杂电路,所有输入端必须按逻辑要求接输入电路,不得悬空处理。否则易受干扰,使电路逻辑功能不正常。对于或非门、或门,按其逻辑要求,多余输入端不能悬空,只能接地。对于与或非门中不使用的与门,至少应有一个输入端接地。而JK触发器、D触发器,其输入端是“与”关系的,可用上述与非门多余输入端处理办法来处理。3.对输入端接地电阻的要求 当输入端有接地电阻时,若器件上电,则必然有电流通过该电阻。若R≤680Ω,则输入端相当于逻辑0:若R≥4.7KΩ,则输入端相当于逻辑1,产品不同,R值有所不同。4.输出端连接 不允许直接接+5V电源或接地。对于100PF以上的容性负载,应串接几百欧的限流电阻,否则将导致器件损坏。除集电极开路(OC)和三态(TS)电路外,输出端不允许并联使用,即“线与”,否则引起逻辑混乱,甚至损坏器件。15
1.9.2 CMOS器件使用规则1.电源要求:如果是双电源供电,VDD端接正电源,VSS端接负电源,如果是单电源供电,VDD端接电源正极,VSS端接地。绝对不许接错,否则器件(包括保护电路)因电流过大而损坏。对于电源为+3V~+18V的系列器件,例如CC4000系列,实验中VDD通常接+5V电源,这样便于和TTL器件的电源一致。VDD电压可选择供电范围的中间值。例如某CMOS器件的电源供电范围在8~12V之间,则选择VDD=10V较恰当。CMOS器件在不同的VDD值下工作时,其输出阻抗、工作速度和功耗等参数都有所变化,在设计中必须加以考虑。2.多余输入端处理:多余输入端不能悬空,应按逻辑要求接VDD或接VSS。以免受干扰造成逻辑混乱,甚至还会损坏器件。对于安装在印刷电路板上的CMOS器件,为了避免输入端悬空,在电路板的输入端应加限流电阻Rp和保护电阻R 。如图1-9-1所示。Rp值的选择通常使输入电流不超过1mA。当VDD=+5V时,Rp=VDD/1mA=5.1KΩ。R一般取100 KΩ~1MΩ。对
于工作速度要求不高,而要求增加带负载能力时,可把输入端并联使用。
图1-9-1 印刷电路板上的限流电阻和保护电阻3.输出端处理:输出端不允许直接接VDD或VSS,否则将导致器件损坏。除三态(TS)器件外,不允许两个不同的输出端并联使用。4.对输入信号Vi的要求:Vi的高电平VIH≤VDD;Vi的低电平VIL≤电路系统的低电平,否则会引起逻辑功能不正常。当器件VDD端未接通电源前,不允许信号输入,否则将使输入端保护电路中的二极管损坏。5.其它注意事项:(1)静电击穿。CMOS器件输入抗阻极高,易受外界干扰形成电荷积累,造成高压静电击穿。因此在器件内部输入端采用二极管、电阻保护电路,如图1-9-2所示。引入该电路后,器件输入抗阻有所下降,但仍有10Ω以上。保护电路虽然引入,但吸收瞬变能量有限。若瞬变信号太大,静电电压过高,保护电路将会失去作用,因此在使用特别小心。
图1-9-2 CMOS器件输入端保护电路(2)焊接时应将电路板断电;电烙铁外壳必须接地良好,必要时可将烙铁断电,利用烙铁余热焊接。(3)改变电路接线和插拔电路器件时,务必切断电源。(4)所有测试仪器外壳必须良好接地。16
(5)若信号源和电路板使用两组稳压电源,则开机时,先接通电路板电源,再给信号源上电。关机时,先使信号源断电,再断开电路板电源。1.9.3 TTL器件、CMOS器件、运算放大器之间的接口电路在数字电路中,为了发挥各种类型器件的优势,常常将CMOS与TTL等器件混合使用。而这两种器件的输入、输出标准不尽相同,因此有必要讨论接口问题。1.TTL驱动CMOSTTL的VOL=0.2~0.4V,且TTL 的吸收电流较大,而CMOS电路为高阻器件,故TTL输出为低电平VOL时,驱动CMOS不成问题。但TTL输出为高电平VOH(标准值为2.4V)时,有可能不足以驱动CMOS电路,因为CMOS电路在电源电压VDD=5V时的最小输入高电平约为3.5V,这就使得TTL说CMOS电路存在接口上的困难。为了提高输出高电平,可在TTL电路的输出端和电源VDD之间接上拉电阻Rx作为接口电阻,如图1-9-3(a)所示。由于CMOS集成电路的电源VDD有几种形式,因此接口也有差异,下面作简要说明。
图1-9-3 VDD=VCC=5V时 TTL-CMOS的电路接口(1)TTL驱动CMOS(VDD=VCC=5V)因VDD=VCC=5V,这是最容易的接口,如图1.17(a)所示。考虑干扰容限使TTL出口和CMOS入口高低发生变化,如图1-9-3(b),接口电阻的限制值分别为Rxmin?VDD?VOL5?0.4??228? 16?10?3IOLVDD?VOH5?(3.5?1.1)??1.6K? ?6250?10IOHRxmax?式中,VOL是TTL门允许输出低电平。IOL是TTL门输出低电平为0.4V时所灌入的负载电流。IOH是TTL门输出高电平时T5管的输出漏电流,其最大值为250μA。Rxmin<Rx<Rxmax,取标称值Rx=510Ω(2)TTL驱动CMOS(VDD≠VCC)VDD>VCC的接口电路如图1-9-4所示。图中CMOS电路应使用具有电平移位功能的电路(如CC4054、CC40109和BHO17等),才能完成TTL对CMOS电路的驱动。关于VDD的大小,可根据系统需要在5-15V范围内任意选定。17
图1-9-4 CMOS电路电源大于5V时的接口此外,在工程上也可以用TTL(OC)电路作为接口电路。如图1-9-5所示。图中RX可使两者的信号电平相匹配。这两种电路的RX
极限值分别为
图1-9-5 VDD>VCC时, 用TTL(OC)-CMOS电路接口及相应的高低电平值不同系列的TTL电阻均可用上述方法计算出来,也可用电位器调整而得,使其输出的高、低电平符合要求。2.CMOS驱动TTLCMOS电路的电源电压较宽,对于3~18V系列器件,可使其VDD=+5V,此时输出的VOL和VOH与TTL逻辑电平相容。但在CMOS输出为低电平,CMOS吸收TTL的输入短路电流而使VOL大大抬高,以至超过TTL 的最大输入低电平,因此不能用CMOS直接驱动TTL。如果CMOS的VDD超过5V,更不能直接驱动TTL电路。目前已有专用于CMOS驱动TTL 的接口电路,如CC4049(六反相缓冲变换器)、CC4050(六同相变换器)等。CC4050的典型应用如图1-9-6所示。由于CC4049和CC4050的输入保护比较特殊,其输入端可施加高于电源VDD的信号。例如当CC4049(或CC4050)的VDD取5V时,其输入端可施加5~15V的信号。18
图1-9-6 CC4050的典型应用另外,还可用晶体管作为接口电路如图
用晶体管作接口电路3.TTL或CMOS驱动晶体管电路,如图1-9-8
图1-9-8 TTL或CMOS驱动晶体管电路4.运算放大器驱动TTL或CMOS电路,如图1-9-9所示。图(a)中运算放大器与TTL或CMOS
使用的电源是分开的。图(b)中运算放大器与其驱动的集成电路共用一个电源。
图1-9-9 运算放大器驱动TTL或CMOS电路以上介绍了TTL与CMOS电路混合使用时经常采用的接口电路,但随着半导体技术的发19
展,有些新型的TTL与CMOS集成电路已经可以直接互相驱动,不再需要另外加接口电路了,所以,当遇到同时使用TTL与CMOS电路时,请具体查阅该芯片的资料后,再决定采用哪种电路形式。1.10 逻辑可编程器件MAX II器件是一种非易失性、即用性可编程逻辑器件,它采用了一种突破性的新型CPLD架构。这种新型架构的成本是原先PLD 器件的一半,功耗是其十分之一,密度是其四倍,性能却是其两倍。这些性能是在提供了所有MAX系列CPLD 先进特性的架构的基础上,根据Altera专家们的意见而重新采用基于查找表的架构而得到的。这种基于查找表的架构在最小的I/O焊盘约束的空间内提供了最多的逻辑容量。因此,MAX II 系列的CPLD器件是所有CPLD产品中成本最低、功耗最小和密度最高的器件。基于成本优化的0.18微米6层金属Flash工艺,MAX II器件系列具有CPLD所有的优点,例如非易失性、即用性、易用性和快速传输延时性。以满足通用性,低密度逻辑应用为目标,MAX II器件成为接口桥接、I/O扩展、器件配置和上电顺序等应用最理想的解决方案。除这些典型的CPLD应用之外,MAX II器件还能满足大量从前在FPGA、ASSP和标准逻辑器件中实现的低密度可编程逻辑需求。1.10.1 MAX Ⅱ的特点1.与MAX系列相比有四倍的密度,一半的价格2.以最小化裸片面积为目标的架构,业界单个I/O管脚成本最低3.十分之一的功耗(和3.3V MAX器件相比)4.1.8V内核电压以减小功耗,提高可靠性5.片内电压调整器支持3.3V、2.5V或1.8V电源输入6.I/O接口支持3.3V、2.5V、1.8V和1.5V等多种电平;7.I/O接口PCI兼容8.支持内部时钟频率高达300 MHz9.内置用户非易失性Flash存储器块10.通过取代分立式非易失性存储器件减少芯片数量11.器件在工作状态时能够下载第二个设计12.降低远程现场升级的成本13.施密特触发器(Schmitt triggers)、回转速率可编程以及驱动能力可编程提高了信号完整性。14.Altera提供免费的Quartus? II基础版软件,支持所有MAX II器件,它是基于MAX II器件管脚锁定式装配和性能优化而设计的。
1.10.2 几种常用的MAX Ⅱ器件的参数几种常用的MAX Ⅱ器件的参数如表1-10-1所示表1-10-1 常用的MAX Ⅱ器件的参数表MAX Ⅱ EPM240LEs 240等效典型
宏单元数 192等效宏单元范围 128-240用户FLASH位8192速度tPD(ns)fCNT(MHz)tSU(ns)tCO(ns) 4.43041.94.2用户 I/O 80 76EPM570570440240-57081925.23041.84.3116 160EPM980570-127081926.13041.74.4116 212 204 272封装 TQFP100TQFP100TQFP144BGA256TQPF144BGA256BGA256BGA324EPM00 92 6.9 304 1.6 4.51.10.3 .MAX Ⅱ器件的命名
MAX Ⅱ器件的命名图说明:EPM表示Altera的CPLD,, 240表示有240个LE,MAXII系列有240,570,,后面如果有G或Z,G和Z分别是低功耗和零功耗的,不带G或Z的核电压(Vccint)可选择2.5V或3.3V供电,带G或Z的核电压一定要选1.8V,其它的没有区别,带G或Z的价格较高,用得比较少,因为从价格层面来看就不如用FPGA了。 T表示TQFP封装,是最常见的贴片, M表示csBGA封装。100表示有100个管脚,C是指商业级的意思, I是指工业级。3是速度等级,MAXII有3,4,5三种等级,一般情况下选5就可以满足大部分的应用,也比较便宜。ES表示该器件是试用品。后缀如果有N则是指无铅的意思,有铅就不带N。现在绝大部分都是无铅的了,才能符合环保要求。
1.10.4 EPM240T100C5的管脚排列图如图1-10-2所示。21
EPM240T100C5的管脚排列图22
第2章 Quartus? II软件和数字逻辑实验箱使用介绍2.1 Quartus? II软件的使用介绍2.1.1 概述Quartus? II是Altera公司为可编程芯片系统(SOPC) 提供较全面的设计环境的逻辑电路开发软件,目前面市的有不同的版本,其操作风格和方法基本上是相同的,只不过是版本高的,其功能和器件库支持的器件多些,由于篇幅的限制和为叙述方便,,本书暂以Quartus II7.2版为例,介绍该软件的基本使用方法,至于其详尽使用方法或更高版本增加的功能,请查阅该公司出版的手册、在线帮助或浏览网站上的介绍。为读者快速有效地掌握其使用方法,本书按可编程逻辑设计任务来组织内容,相应介绍操作时的界面或用到的其它EDA 工具等,下面就按安装、设计的建立、编译、仿真和下载的操作方法进行介绍,后四者是做电路设计时一般都要经历的步骤,其流程图如图2-1-1所示。
图2-1-1 Quartus II使用流程图2.1.2 安装双击安装文件install,选择释放安装文件夹,如图2-1-2所示,单击安装按钮install文件,23
图2-1-2释放安装文件夹选择界面根据需要选择要安装的内容,如果内存和硬盘空间较大,不妨完全安装,安装该版本大约需要5.5G的硬盘空间,如果只作CPLD的设计,就只选择Quartus II安装,节省磁盘空间,如图2-1-3所示。
图2-1-3 安装的内容选择界面选择同意,如图2-1-4所示。24
同意安装确认界面选择安装文件夹,如图2-1-5所示。
选择安装文件夹界面点击Next,一步一步下去直到安装完成,安装完成后,如图2-1-6所示25
图2-1-6 安装完成确认界面Quartus II的破解:把crack_7_2.rar解压到Quartus II的安装文件夹,(x:\...\altera\72\quartus\bin)然后双击crack_7_2, 出现如图2-1-7所示界面,点击“第一步”对应的”Licens生成”按钮产生一个License文件,再点击“第二步”对应的”Quartus II破解”按钮,将安装文件夹(x:\...\altera\72\quartus\bin)内对应的dll文件进行替换。做完前两步,Quartus II破解已完成,直接关闭破解文件就可以了。操作系统为WIN7/64位的同学安装完后会有32位兼容版本和64位版本。将32位兼容版本按上述方法破解后可正常使用!64位版本暂时无法破解。
生成License文件界面26
启动Quartus II,指明License文件的路径就可以使用了。如图2.-1-8图2-1-9所示。
图2-1-8 打开设置License文件的路径界面
图2-1-9 指明License文件的路径界面27
2.1.3 Quartus II的使用Quartus II的使用主要分四个基本步骤:设计输入、编译、仿真和下载。由于对设计功能的要求不同,可以相应使用Quartus II的不同功能,下面围绕着四个基本步骤的使用方法,适当介绍Quartus II的一些常用的功能的操作方法。1.设计输入Quartus II支持多种设计输入方法,如图2-1-10所示。
Quartus II设计输入方法框图(1)在Quartus II平台上? 原理图式图形设计输入? 文本编辑输入C AHDL, VHDL, Verilog? 内存编辑输入C Hex, Mif(2)第三方工具? EDIF? HDL? VQM(3)采用一些别的方法去优化和提高输入的灵活性:? 混合设计格式? 利用LPM和宏功能模块来加速设计输入上述的输入法中,在Quartus II平台上的原理图式图形设计输入法和文本编辑输入法是最基本和常用的方法,这两种方法只是在建立文件和输入电路描述时的方式不同,其它后期的操作和处理方法是相同的,如编译、仿真、下载等,因此,先完整地介绍原理图式图形设计输入编译、仿真、下载,在简要介绍文本编辑输入法。原理图式图形设计输入法,简称图形输入法。Quartus II的操作可以用菜单或快捷键操作,本说明以实现一个四位二进制计数器74161为例,用菜单操作介绍。在File中选择New,出现下面选择界面,如图2-1-11图2-1-2所示。28
图2-1-11图形设计输入法选择界面
图2-1-12图形设计输入法输入界面可以在电路编辑区按鼠标右键,选择菜单输入器件,如图2-1-13所示,29
图2-1-13 打开输入器件菜单 ,出现下面图2-1-14,直接在Name框中也可以从工具栏中调用器件库中的器件,点击输入器件的名称,如果不清楚器件的名称,可点击Name框右边的浏览按钮,选择库里的器件。
图2-1-14输入器件名称界面对于一个完整的电路,必须定义端口,如果没有定义,就被认为是内部节点,在编译时,不分配管脚。输入(Input)、输出(Output)与其它器件一样从库中调出。下面是74161的完整电路,图中的VCC模块是把计数器74161的使能端置高电平,使其按四位二进制计数,注意输入(Input)、输出(Output)端口不能重名,而且是非中文的。另外,各元件间的连线,可以将鼠标移到要连线元件端口,此时鼠标变成小十字,按鼠标左键拖动到另一要连线元件端口,释放鼠标左键,就完成连线,最后完成的电路图如图2-1-15所示。30
图2-1-15 完成的电路图电路输入完毕后要保存文件,最好同一个项目保存在同一个文件夹中,在保存时,一般把它当成一个项目来对待,把保存界面最下面一行Crea new project based on this file的左边选项打钩,在点击保存后出现询问界面选择“是”按钮,然后按提示连续点击Next键,直到选择芯片,如选择EPM240T100C5,如下图。文件名和文件夹名都用非中文符号,且文件名不要与器件库中的逻辑模块同名,如这里用JSQ,而不用74161命名,如图2-1-16、图2-1-17所示。
图2-1-16 保存和确认为项目文件的界面31
图2-1-17 选择所用器件的选择界面继续按Next键,此时,管理器显示区就变成了项目名为JSQ,该项目的器件是EPM240T100C5,如图2-1-18所示。
图2-1-18 管理器显示区显示显示项目名称和所用器件型号32
再按两次Next键,出现下图的项目信息,按Finish,就完成了电路的输入,如图2.-1-19所示。
图2-1-19 项目信息显示界面2.编译综合Quartus II 软件包括模块化编译。Compiler 包括分析和综合、Fitter、Assembler、Timing Analyzer、Design Assistant*、 EDA Netlist Writer*、 Compiler Database Interface*模块 ,标有星号的模块表示在编译期间可选,具体要视所需的设置而定,可以在全编译过程中通过选择 Start Compilation (Processing 菜单) 来运行所有的 Compiler 模块。若要单独运行各个模块,可以通过选择 Start(Processing 菜单),然后从 Start 子菜单中为模块选择相应的指令。此外,还可以通过选择 Compiler Tool (Tools 菜单) 并在 Compiler Tool 窗口中运行该模块来启动 Compiler 模块。在 Compiler Tool 窗口中,可以打开该模块的设置文件或报告文件,还可以打开其它相关窗口。接着上面例子,对计数器74161的文件JSQ进行编译,用系统的默认设置,在Processing 菜单中Start Compilation,就自动进行完全编译,如图2-1-20、图2-1-21所示。编译过程中出现的警告不影响电路功能的正常实现。33
图2-1-21 通过完全编译界面34
3.仿真仿真可以简单的理解为根据电路和电路的激励,算出输出的波形或其它参数的过程,对于Quartus? II有不同类型的仿真,它能够提供以下功能,用于在 EDA 仿真工具中进行设计仿真:NativeLink? 集成 EDA 仿真工具、生成输出网表文件、功能与时序仿真、PowerGauge 功率估计、生成仿真激励模板和存储器初始化文件。最常用的是功能仿真、时序仿真和功率估计,功能仿真是与选用的器件无关的电路仿真,只与设计电路的逻辑结构有关,而时序仿真除与电路的逻辑结构有关,还与选用的器件件有关,如器件的延迟等级会影响仿真的输出结果,功率估计可以估计在时序仿真期间当前设计所消耗的功率,以毫瓦 (mW) 为计算单位和报告设计所消耗的内功率、I/O 引脚功率和总功率。如何进行设计的功能仿真、时序仿真和功率估计,下面仍以前面计数器74161为例进行介绍。要对设计进行仿真,需要先建立一个仿真文件,在菜单File中选择New,出现图2-1-22界面,在选项卡Other Files中选择Vector Waveform File,点击OK按钮。出现图2-1-23界面。
图2-1-22 建立仿真文件选项卡35
图2-1-23 仿真文件编辑界面用鼠标双击仿真信号名称显示区,出现图2-1-24所示的节点输入界面,
图2-1-24 节点输入界面点击Node Finder按钮,点击List按钮,出现图2-1-25所示的节点选择界面,在左下边窗口的发现的节点是在分配管脚后才会出现,管脚分配方法见后,否则不会出现,如果只做功能仿真就不需要先分配管脚,要在仿真文件中调入仿真的节点,可在上图的Name右边框中直接输入其名称,如果要做时序仿真就必须先分配管脚,在下面左下边窗口中双击要仿真的信号,此时该信号就出现在右下边窗口中,直到选完,点击两次OK按钮,就出现图2-1-26所示的波形编辑界面。36
图2-1-25 节点选择界面
图2-1-26波形编辑界面编辑输入波形时,需要根据电路的状态数的多少设置仿真的时间长度和栅格的大小,如本例,四位二进制计数器,一共有16种状态,如果栅格先设为10ns,一个栅格对应一个状态,那么仿真的时间长度至少要160ns,栅格大小和仿真时间长度的设置在Edit菜单中,如图2-1-27所示,选择菜单后在界面相应位置输入所需时间值即可。37
选择栅格设置菜单接着需要对输入信号进行设置,如本例,只有CLK一个输入信号,该信号是方波,如果电路有多个输入端,就必须都进行设置,仿真时系统才能根据输入端的波形和电路算出输出端确定的波形,否则输出端的波形不能确定处于随机状态,设置输入端波形有自动和手动两种,在波形编辑工具栏中选择,如下图,对于占空比50%的方波,可点击按钮,在Cout Value的Timing选项卡中设置,其中,Count every 和Multiplied by右边框中的数字可分别设置波形跳变的时间长度和每个方波所占的格数,1表示一个方波占1格,2表示一个方波占2格,3表示一个方波占3格,如图2-1-28所示。如果手动输入,则可按下按钮,用鼠标指着要编辑的波形区,按左键拖动后释放,原来为高电平的就变为低电平,原来为低电平的就变为高电平,输入端波形设置完成后,必须以与项目名相同的文件名存盘,存在与项目文件同一个文件夹中,38
图2-1-28 编辑输入波形如果需要对仿真信号进行分组合并,则可以用按鼠标左键选中同组信号后,在Edit菜单中选择Grouping的Group
相反要撤消同组合并,则选UnGroup,如本例把QD、QC、QB、QA合为一组,合并后如图2-1-29所示,合并时,在Group选项卡要输入组的名称和码的类型,本例组名为Q,码的类型为二进制码,
图2-1-29 同组信号合并设置和保存完成后,就可以进行仿真,在Processing 菜单中Simulator Tool,如图2-1-30所示。39
启动仿真工具启动仿真工具后,就出现仿真选择卡,从卡中选择仿真类型,如果是功能仿真则选择Functional,然后点击Generate Functional Simulation Netlist按钮,时序仿真则选择Timing,最后点击Start按钮,查看结果波形点击Report按钮,如图2-1-31所示。
图2-1-31 仿真工具选择界面40
两种类型的仿真波形的主要区别在于功能仿真没有时间延迟,时序则有时间延迟,功能仿真输出对齐于时钟的上升沿,Q合成后显示的码整齐,如图2-1-32所示,仿真输出不对齐于时钟的上升沿,Q合成后显示的码不整齐,如果放大后可看到有其它码,如图2-1-33所示。
图2-1-32功能仿真波形
时序仿真波形功率估计可以在Processing 菜单中选择Powerplay power Analyzer Tool,如图2-1-34所示。41
图2-1-34 启动功率估计工具选择功率分析工具菜单后出现图2-1-35分析界面,
功率估计分析界面42
点击Start按钮,等分析完成后,点击Report按钮,可以看出芯片消耗的热总功率46.20mW,芯片静态热功率39.60mW,芯片动态热功率0.00mW,I/0口热功率6.60mW,如图2-1-34所示,对于相同系列的芯片各功率基本上相同,不同系列的芯片各功率可能有较大差异,在Quartus II 软件只能估算某些系列芯片的热功率,如MAX II、Cyclone等,对EPM7000、10K系列等是不能提供这个功能,估算的热功率可以作为加工电路板的参考参数。
图2-1-36 功率估计的参数前面提到管脚分配,方法是在Assignments菜单中选择Pins,如图2-1-37所示。
图2-1-37 管脚分配菜单选择管脚分配菜单后就出现管脚分配界面,如图2-1-37所示,在Location栏双击对应43
各信号的表格框,就有可选择管脚编号的下拉框出现,设计者可根据需要进行选择,都选择完成后,在编译一次,管脚就按照选择管脚编号分配。
图2-1-38 管脚分配界面(4)下载下载通常也叫烧录,就是设计的电路通过编译生成的sof文件下载到选用的芯片中,实现硬件功能,可以在Tools 菜单中选择Programmer,如图2-1-39所示。
图2-1-39 启动下载器对于初次下载,需要设置下载电缆的类型,点击Hardware Setup按钮,如图2-1-40所44
图2-1-40下载界面当点击Hardware Setup按钮后,就出现图2-1-41所示的选项卡,在Currently selected hardware 选项框中选择ByteBlaster,然后点击Add Hardware和Close按钮,设置完成,用下载电缆把计算机的打印机口和开发板的下载口连接起来,接通实验板电源,点击Start按钮就把JSQ. sof文件下载到选用的EPM240T100芯片中,实验板的介绍在本书后面。
图2-1-41 选择下载电缆的类型前面简要介绍了Quartus II的基本使用方法,它还有很多实用的功能,由于篇幅关系,不能介绍,另外,不同版本,菜单的分布也不太一样,希望读者能够以此为基础,借助相关的资料,自行深入地去了解和掌握。(5)文本编辑输入法文本编辑输入法也简称文本输入法,根据文本语言的不同,目前在Quartus II软件中常用的有AHDL、Verilog、VHDL语言,AHDL是Altera公司开发的语言,Verilog原来是美国军方开发使用的语言,VHDL是通用的标准语言。现以用VHDL语言实现一个两输入的与门为例,介绍文本输入法,其它两种文本输入法的操作类似。在File 菜单中选择New,就出现下面选项卡界面,选项卡中选择VHDL File,如图2-1-4245
图2-1-42 新建VHDL文件选项卡界面当选择VHDL File后,点击OK,就有文本编辑窗口出现,在编辑窗口输入下面文本: -- Quartus II VHDL Example-- Conversion Function-- Copyright (c) 2009 Altera Corporation & SCUTLIBRARYUSE ieee.std_logic_1164.--USE ieee.std_logic_arith.ENTITY and2VHDL ISPORT (a, b
: OUT bit);END and2VHDL;ARCHITECTURE Quartuscpld OF and2VHDL ISBEGINy &= a ANDEND Q当输入完毕后,需要保存,文件名用文件中ENTITY 的名称and2VHDL,扩展名是VHDL,接下来的操作与图形输入法一样,新建的项目名称改为and2VHDL. VHDL就可以了,经过分配管脚、编译后就可以下载,该电路的功能仿真和时序仿真的波形如图2-1-43、图2-1-44所示。46
图2-1-43 两输入与门的功能仿真波形
图2-2-44 两输入与门的时序仿真波形2.2 Quartus II元件库中常用的元件名称Quartus II的元件放在不同的库中,调用时如果用Quartus II的默认路径,那么只要输入所要器件的名称或型号就可以了,常用的元件如下:and2
and8 一般与门band:
band8 输入反向与门bdir
bdirc 双向端口、可控双向端口bnand2
输入反向与非门bnor2
输入反向或非门bor2
输入反向或门carry
缓冲或连接
constant 常量dff
dffe带低电平置位/清零的D触发器,后者带使能端gnd 接地input
inputc 输入端口jkff
带低电平置位/清零的JK触发器,后者带使能端latch D锁存器nand2
nand8与非门47
nor8 或非门not 反相器or2
or8 或门output
输出端口param 量srff
带低电平置位/清零的RS触发器,后者带使能端tff
带低电平置位/清零的t触发器,后者带使能端title 标题框tri三态门vcc正电源xnor同或门
xor异或门161mux 16-1选择器,81mux
8-1选择器,21mux 2-1选择器16cudslr 16位计数/移位16dmux
译码器2x8mux
8位2选14count
4位计数器按功能分类:门电路: 、、/134―与非门,、 ―或非门,7404―非门,、―与门,/25―或门,/54/55/64―与或非门,7452―与或门,、74386―异或门。同类门输入端个数不同而有不同型号。码制转换:―BCD码-十进制码转换器,7443―余3-十进制码转换器,7444―格雷码-十进制码转换器,/49/246/247/248―BCD-7段译码器,―3-8译码器,6
双2-4译码器,74143―4位计数或7段译码器,74145―BCD码-十进制码转换器, 7译码器, 74184―BCD码―二进制码转换器,74185―二进制码―BCD码转换器,―编码器。数据选择:7/158/251/253/257/258/298/352/353/354/356/398/399―数据选择器。
算术运算:、7―全加器,74385―加/减法器,―4位×4位并行二进制乘法器,/684/686/688/―比较器,2/ 7487―并行加法器, ―奇偶发生器,7497―六位同步二进制比率乘法器,74167―四位同步十进制比率乘法器,7乘法器总线缓冲:4/465/466/467/468/540/541―8位总线缓冲,7/368―16位总线缓冲,74265―互补输出。锁存、触发器:/73/76/78/107/109/112/113/114//276/376―JK触发器,/374/377/378/379/821/822/823/824/825/826―D触发器,/373 /375/841/842/843/844/845/846/990―D锁存器,74279―RS锁存器,74259―地址锁存器,74549―管道锁存器计数分频:48
292/294―分频器,7―十进制计数器,7―二进制计数器,/176/177/196/197/592―N进制计数器8/169/191/192/193/293/393/490/568/569/668/669/690/691/693/696/697/698/699/―N进制计数器。―加/减法十进制计数器,74390―双计数器。移位寄存:/96/164/165/166/178/179/194/195/198/199/295/299/589/590/594/595/597/673―移位寄存器,/174/175/604―寄存器,―优先寄存器,2/674―4 位可级联移位寄存器,7三态输出寄存器,74172―16位寄存器堆,74548―管道寄存器,74396―8位存储器。其他:74182―超前进位发生器,74297―数字锁相环,74350―4位转换器,
2.3 DLBS-1型数字逻辑实验箱使用介绍2.3.1 实验箱总体布局:
图2-3-1 DLBS-1型实验箱的平面图2.3.2 实验箱主要功能模块1.数码显示单元数码显示单元由6个1位共阴数码管和相应译码电路构成。如图2-3-2所示,输入插孔8、4、2、1分别为输入的4位BCD码,与7段数码管显示的对应关系如表2-3-1所示。49
表2-2-1 数码显示单元功能表输入
BCD码 显84 2 1 示00 0 0 000 0 1 100 1 0 200 1 1 301 0 0 401 0 1 5图2-3-1 数码显示电路
2.逻辑电平指示单元:
0 1 1 0 0 0 1 0 1 6 7 8 9
图2-3-2 逻辑电平指示单元5V系统有8个LED指示灯L0~L7,分别对应到下方D0-D7接孔。如图2-3-2,输入高电平时,灯亮。
3.脉冲信号源:
图2-3-3 脉冲信号源5V系统的脉冲信号源输出频率分别为1Hz、100Hz、1KHz、100KHz幅度为5V的矩形波,拨动两位的控制开关设定Fout输出孔输出的脉冲频率。4.蜂鸣器蜂鸣器BUZZERD的输入插孔输入高电平时,发出响声。 50
5.5V电源插接区:+5V单电源插接区:上方3个插孔为电源正极性输出端标识为+5V,下方3个插孔为电源负极性输出,同时也是逻辑实验箱公共端,以GND标识。.
6.面包版:共有上下各35组弹簧片,每个弹簧片有五个触孔,这五个触孔在电气上是互连的,触孔之间及簧片之间均为双立直插式集成电路的标准间距。因此,适合于插入各种双立直插式集成电路,亦可插入引脚直径φ0.5~0.6mm的任何元器件或单芯硬跳线。如图2-3-4所示。
图2-3-4 面包版结构示意图
7.通用芯片插接区:通用芯片插接区采用7个DIP14和5个DIP16封装的插座,每个插座的管脚都分别与标有序号的三个插孔相连接,如DIP14插座的连接关系如图2-3-5所示。DIP16
依此类推。
图2-3-5 DIP14插座的连接关系8.电平开关:5V系统有12位的电平开关,分别对应K1-K12,如图2-3-6所示,开关往上拨,对应输出孔输出5V高电平,往下拨输出低电平。
图2-3-6 电平开关9.单脉冲开关:单次脉冲电路示意图见图2-3-7,当开关Pn(n为1,2)往上弹接上触点时,输出插孔 PnL输出为高电平、PnH输出为低电平,当开关Pn按下接下触点,输出插孔PnL输出为低电平,PnH输出为高电平。每按一下Pn,在相应的输出插孔PnH、PnL输出正、负脉冲各一个。51
图2-3-7 单脉冲开关10.六位数码管3.3V系统有一个六位共阴数码管,六位共用的a、b、c、d、e、f、g,分别连接A-G
7个接孔,如图2-3-8所示。每位数码管的阴极极分别对应D1-D6输入孔,输入低电平时对应的一位数码管发光。
图2-3-8 六位数码管结构图11.逻辑指示灯:3.3V系统的逻辑指示灯兼容3.3V CMOS电平标准和TTL电平标准。
12.CPLD插接区实验箱使用的CPLD芯片是EPM240T100C5,3.3V供电,I/O口输出高电平约为3.3V。
CPLD各I/O口对应接线孔52
芯片有纯I/O口80个,各个I/O口对应的插孔见图2-3-9所示。进行设计时可根据所使用的外围器件的位置灵活分配。芯片的下载口是位于芯片右上方,通过下载线连接到实验台计算机并行口。13.逻辑分析仪转接区:逻辑分析仪16个测量通道经过排线分别与对应的接线孔连接,进行测试时,只需将电路中的被测点与转接区对应的接线孔连接,在电脑上运行逻辑分析仪之后就能显示被测点的信号波形。见图2-3-10所示。
图2-3-10 逻辑分析仪接线区及波形图14.电平开关3.3V系统的电平开关控制方式和5V系统相同,但输出高电平只有3.3V。15.单脉冲开关3.3V系统的单脉冲开关控制方式和5V系统相同,但输出脉冲的幅度只有3.3V。16.脉冲信号源3.3V系统的脉冲信号源控制方式和5V系统相同,但输出脉冲的幅度只有3.3V。17.器件插接区
实验箱的器件插接区是5V系统和3.3V系统共用区域,包括两个固定电阻,阻值分别是6.8KΩ和12K,两个固定电容,容量分别为0.1μF和0,01μF,两个可变电阻和电容插接区,可根据实际使用的需要插放任意的电阻和电容。该区域还有一个DIP8插座,实验中插放NE555芯片。见图2-3-11所示。
图2-3-11 器件插接区18.电位器区:该区域也是5V系统和3.3V系统共用,有4个标称值分别为470Ω、4.7KΩ、47KΩ和470KΩ的电位器,其中470Ω、4.7KΩ和47KΩ是多圈电位器,470KΩ为碳膜电位器。见图2-3-12所示。
图2-3-12 电位器区54
第3章 部分数字单元电路的设计与实现方法一个复杂数字电路一般都是由具有一定功能的单元电路组成的,而数字单元电路的设计在结构和实现途径往往是多样的,只有掌握设计与实现的方法,才能具备真正的应用和解决问题的能力。数字单元电路的种类很多,在理论课程已经有较全面的阐述,这里只介绍既可以用通用集成电路芯片,又可以用可编程逻辑器件实现的组合逻辑电路、触发器电路及时序逻辑电路的数字单元电路的设计与实现方法。3.1组合逻辑电路组合逻辑电路是一种输出由输入决定、与原态无关的没记忆功能的电路,一般由门电路组成,下面就其电路设计、实现途径及出现的相关问题作介绍。3.1.1 组合逻辑电路设计方法1.列真值表真值表的获得,可以从对设计要求的归纳、抽象后得到,一般设计要求可以分为文字表述和波形表述两种。对于文字表述,如试用门电路设计一个三人表决器。A、B、C三者中多数同意,提案通过,否则提案不被通过。根据题意,该电路有三个输入端A、B、C代表三个人的表决状态,一个输出端F代表提案是否通过的结果,表决同意人用1表示,不同意用0表示;提案通过必须是A、B、C三者中两个或三个通过同意,用1表示,否则不通过,用0表示,经过这样分析和归纳后,就可以列出真值表如表3-1-1所示。表3-1-1 表决器真值表 A B
C F1对于波形表述,如试用门电路设计一个具有下面输入、输出波形的电路,A、B、C是输入端波形,F是输出端波形,如图3-1-1所示。
图3-1-1 设计电路的输入、输出波形55
数字电路在动态工作频率不高的情况下,其动态波形与静态真值表都是由该电路决定,两者的对应关系是唯一的,因此,从图3-1-1就可以列出该电路的真值表,如表3-1-1所示,可以看出与前面文字表述法――三人表决器所要求的电路功能相同。2.由真值表写出逻辑函数表达式 从真值表―表3-1列出F的逻辑表达式:F?ABC?ABC?ABC?ABC(式3-1)3.根据实现的条件要求,对逻辑函数进行化简或变换,按最简式画出逻辑图实现的条件是指题目要求用什么器件或实验者能够用什么器件来实现最便捷,例如本题目的电路,没有具体限制,可以用卡诺图和布尔代数直接化简得到式3-2 ,用3个两输入的与非
门和一个3输入的与非门就可以实现。F?AB?BC?CA?AB?BC?CA(式3-2)
由式3-2画出电路的逻辑原理图,如图3-1-2所示
图3-1-2 三人表决器的逻辑原理图2. 组合逻辑电路的实现要实现图3-1-2的功能, 如果采用通用集成芯片,有TTL器件,也有CMOS器件,一般同一个电路,用同一类型的器件好些。若采用TTL器件,两输入与非门可选74LS00,图中三输入与非门没有现成的,而74LS20是双四输入与非门,把它的一个多余输入端接高电平或与其中一个有用输入端并联就能当三输入与非门使用,这样,用74LS00和74LS20各一片就能够实现。若采用CMOS器件,两输入与非门可选CD4011,图中三输入与非门可用双四输入与非门CD4012,按本书第1.9节介绍的方法把它接成三输入与非门,同样也是各一片就能够实现。如果用可编程逻辑器件,如实验室有EPM240T100C5,那就更简单了,直接在QuartusII的元件库中调出NAND2和NAND3两种逻辑模块,连接好,通过编译后下载到该芯片中就可以实现,由于编程逻辑器件的资源丰富,就本电路用EPM240T100C5实现而言,那只是用到它其中的一点点,而且工作频率不高,一般不会出现竞争冒险,直接用库中的非门、与门和或门来实现式3-1也可以,不需要化简。对于实现条件没有限制的,本电路还有很多电路结构,如用与门和或门,异或门和与非门等等,但是,有限制实现条件的,那就要根据条件来化简了,如本电路,要求只用通用集成芯片74LS00这种两输入的与非门实现,三输入与非门就要通过变换,用两输入的与非门代替,这时一般用布代数化简,逻辑关系明确一些,如式3-3所示。56F?AB?BC?CA?AB?AC?BC?AC?A(B?C)?(B?A)C
?AB?C?B?AC?A(B?C)?(B?A)C电路的逻辑原理图如图3-1-3所示,用两片74LS00可以实现。
图3-1-3 用74LS00实现三人表决器的原理图以上是组合逻辑电路的设计、处理和实现的一般方法,只有掌握了这些方法,才能解决问题。3.2 触发器电路触发器是具有记忆功能的基本逻辑单元,它能接收、保存和输出数码0、1,关于它们的工作原理、电路结构、逻辑功能等在理论课程已经讲过,这里只针对在实际应用中,不同逻辑功能的触发器的相互转换方法作介绍。常用的触发器RS触发器、JK触发器、D触发器、T触发器和T′触发器等,通过增加一些必要的门电路,任何两种触发器都可以相互转换,相互转换的目的主要是为了满足不同场合的应用要求,相互转换的方法一样,因此,下面只以JK触发器与D触发器之间的互转换为例作介绍。3.2.1 JK触发器转换为D触发器JK触发器转换为D触发器就是把J、K两个输入端变换为D一个输入端,求出J和K的方程。根据它们的特性方程,如式3-4和式3-5所示,把式3-5作适当变换,使其与式3-4等号右边的结构相似,如式3-6所示,式3-4和式3-6等号右边恒等,就可以得到要的D触发器D端的方程,如式3-7所示,根据式3-7,可以得到JK触发器转换为D触发器的原理图,如图3-2-1所示。 Qn?1?JQn?KQnQn?1?D
(式3-5)Qn?1?D?D(Qn?Qn) ?DQn?DQn
(式3-6)57J?DK?D
图3-2-1 JK触发器转换为D触发器原理图3.2.2 D触发器转换为JK触发器D触发器转换为JK触发器就是把D一个输入端变换为J、K两个输入端,求出D的方程。式3-4和式3-5等号右边恒等,得到D的方程,如式3-8所示,用与门、非门和或门可以实现,原理图如图3-2-2(a)所示,如果用与非门和非门可以实现,就把D的方程作变换,如式3-9所示,原理图如图3-2-2(b)所示。nnD?JQ?KQ
(式3-9) D?JQn?KQn
图3-2-2 D触发器转换为JK触发器原理图3.3 时序逻辑电路根据逻辑功能的不同,常用的时序逻辑电路有锁存器、移位寄存器、计数器等,前两者的设计与实现因使用要求的不同而不同,不能够一概而论,而计数器的设计与实现方法则相类似,是时序逻辑电路设计的基础,也是时序逻辑电路最基本的单元电路,很多场合用到它。计数器依时钟脉冲的输入与输出端转态方式的不同,分为同步和异步计数器两类,各触发器受同一时钟脉冲─输入计数脉冲控制,同步更新状态,这叫同步计数器。有的触发器受计数脉冲控制,有的是以其它触发器输出为时钟脉冲,状态更新有先有后,这叫异步计数器。同步计数器具有异步计数器没有的许多优点,设计方法也较为简单,因此,这里只以JK触发器设计同步计数器为例,介绍其设计方法,接着,对集成同步计数器构成的单元电路也作相应介绍。3.3.1 用触发器设计同步计数器设计方法用JK触发器和逻辑门设计一个七进制加法计数器。1.画状态转换图或状态转换表根据题目要求,要实现七进制加法计数,就是每个循环中,计数器有7个不同的状态,58
是递增的,没有具体的状态码限制,可以采用按自然二进制数000~110递增的七个编码最简单,作为计数器的7个不同的状态,触发器的数目为3,符合2≥M&2nn-1,M是计数器的模,M=7,
n=3,这样,就可得到计数器输出端Q2、Q1、Q0的态转换图,如图3-3-1所示。
图3-3-1 七进制加法计数器态转换图2.状态化简,求出状态方程和输出方程对于本题目,没有要求计数器有输出,不需要求输出方程。为了进行状态化简,求出状态方程,一般通过卡诺图进行,才不容易出错,该计数器总的卡诺图如图3-3-2所示,在方框外的码是指计数器的初态,在方框内方格中的码是指计数器的次态,格中的组合码顺序是Q2Q1Q0
图3-3-2 Q2Q1Q0总的次态卡诺图根据图3.7总的卡诺图,可以得到Q0、Q1、Q2各位的分卡诺图,如图3-3-3(a)、图3-3-3(b)
、3-3-3(c)所示。
图3-3-3 Q0、Q1、Q2各位的分次态卡诺图从图3-3-3(a)中可以直接写出化简了的Q0的状态方程,并有意地写成所采用的触发器特性方程的形式,。nnnQ0n?1?Q1nQ0n?Q2Q0n?Q2Q1nQ0n?Q2Q1n?Q0n??Q0n
(式3-8)同理,从图3-3-3(b )、3-3-3(c)中得到Q1、Q2的状态方程如式3-9、式3-10所示。nQ1n?1?Q0n?Q1n?Q2Q0n?Q1n
(式3-9)n?1nnQ2?Q1nQ0n?Q2?Q1n?Q2
(式3-10)3.根据得到的各位触发器的状态方程,与所采用的JK触发器特性方程联合,JK触发器特性方程如式3-11所示,求出各位相应的驱动方程,如式3-12,式 3-13,式 3-14所示。 Qn?1?J?Qn?K?Qn
(式3-11)59nJ0?Q2Q1nK0?1J1?Q0nK1?QQK2?Qn1
(式3-12)
(式3-13) n0n2J2?Q1nQ0n
(式3-14)4.按照驱动方程画出计数器的逻辑原理图,如图3-3-4所示。
图3-3-4 计数器的逻辑原理图5.检查所设计的电路能否自启动该计数器总共有8种状态,其中7种在有效循环圈内,只有111一种状态是无效状态码,只要将这个码作为电路的初态代入,看看是否其次态为7种在有效循环圈内中的一种码,是就为能够自启动,如本题,初态为111,次态则为000,电路能够自启动,状态转换图如图3-3-5
所示,按照上述介绍的方法设计的电路,一般都能自启动。
图3-3-5 自启动状态转换图3.3.2 用集成计数器设计不同模的计数器的方法集成计数器的计数模式往往因其使能端,如清零、置数、保持等的不同状态而不同,要把集成计数器设计不同模的计数器,必须先了解该计数器的所有使能端的功效,综合考虑给予合适的组合电平,设计出来的计数器才能符合要求,下面以用集成计数器74LS161和门电路设计一个7进制计数器为例,介绍其设计方法,除74LS161外,用如74LS160、74LS162、74LS163或74LS90、74LS190、74LS290等也能够实现,方法类似。集成计数器74LS161的功能表如表3-3-1所示,它是一个四位二进制计数器,从表中可以看出,它有异步清零端RD、同步置数端LD、保持端P和T共四个使能端,当它们都接入高电平时,在时钟上升沿的作用下,输出端Q3、Q2、Q1、Q0按不断循环计数。当异步清零端为低电平时,计数器输出端Q3、Q2、Q1、Q0立即为零,不需要时钟,其它使能端状态任意;当同步置数端为

我要回帖

更多关于 3个数字相加等于30 的文章

 

随机推荐