基于fpga的流水灯/GPLD的流水灯制作

查看: 15952|回复: 763
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验一:流水灯模块
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
才可以下载或查看,没有帐号?
无数昼夜的来回轮替以后,这本《驱动篇I》终于编辑完毕了,笔者真的感动到连鼻涕也流下来。所谓驱动就是认识硬件,还有前期建模。虽然《驱动篇I》的硬件都是我们熟悉的老友记,例如UART,VGA等,但是《驱动篇I》贵就贵在建模技巧的升华,亦即低级建模II。
话说低级建模II,读过《建模篇》的朋友多少也会面熟几分,因为它是低级建模的进化形态。许久以前,笔者就有点燃低级建模II的念头,但是懒惰的性格让笔者别扭许久。某天,老大忽然说道:“让咱们大干一场吧! ”。就这样,Alinx计划开启,这本《驱动篇I》也成为吉利开红炮。
《驱动篇I》涉及的硬件可谓是大杂烩,例如经典的流水灯,折磨死人的SDRAM,暴力的SD Card等,内容尽是一些平民硬件。虽然,它们曾在其它教程露脸过,但是结果都卡在一半,处于不上不下那种,说起来还真是不好意思,因为笔者当时年少无知嘛。因此,笔者尽可能为《驱动篇I》补足每一项解释以及时序。
老实说,《驱动篇I》更加钟情解释时序,提高代码的解读能力,减少连线,应用通用结构等小细节,古人不是说过什么细节决定成败。所以说,笔者宁愿在细节上加好料,也不要太啰嗦硬件。期间,如果发现什么地方存在忽略,请读者大人原谅懒惰的笔者。手册是读者的好朋友。
在此,笔者需要说声抱歉。《驱动篇I》是偏向实用性的教程,同时也是综合性质的教程,多少也会缺少一丝温柔。因为《驱动篇I》不会一点一滴给大伙解释,更多仅挑重点而已。入门的同学读起来可能会觉得痛苦,这种感觉好比一口气消化几块切糕。如果读者真的痛苦万分,读者可以回顾《Verilog HDL那些事儿》系列的教程,它可能更加适合。最后,愿大家建模愉快!
黑金微课堂:
《FPGA那些事儿-驱动篇I 》文档和源码下载地址:
游客,如果您要查看本帖隐藏内容请
又有好东西了
黑金又出好东西了
顶一个,忙完毕设可以好好深入学习了!!
又是好东西,哈哈
好东西呀 学习中&&支持下
正好可以参考学习下,支持支持
很好的东西
这个必须顶
搬个小板凳,双手托腮,等着看黑金的新教
顶楼主 继续学习
终于等来啦!支持黑金
Powered byXilinx FPGA入门连载19:流水灯实例 - 特权同学FPGA专区 -
中国电子技术论坛 -
最好最受欢迎电子论坛!
后使用快捷导航没有帐号?
Xilinx FPGA入门连载19:流水灯实例
12:15:44  
Xilinx FPGA入门连载19:流水灯实例特权同学,版权所有配套例程和更多资料下载链接:
1.jpg (9.51 KB, 下载次数: 5)
12:15 上传
1 功能简介& && && &如图所示,在SF-SP6开发板的左上角有8个LED指示灯。
2.jpg (19.71 KB, 下载次数: 5)
12:15 上传
这些LED的正极连接510欧姆限流电阻到3.3V电压,负极都连接到了FPGA的IO引脚上。因此,FPGA可以通过引脚的高或低电平控制LED的亮灭状态。
3.jpg (10.66 KB, 下载次数: 4)
12:15 上传
& && && &本实例,通过FPGA内部的定时器,循环点亮每个LED,达到流水灯的效果。如图所示,8个LED指示灯,我们依次给他们赋值,每次只有一个LED点亮,每次点亮某个LED的时间一定(固定延时)。8个LED依次被点亮一次,如此循环便成就了流水灯的效果。
4.jpg (17.31 KB, 下载次数: 5)
12:15 上传
2 下载配置& && && &下载完毕后,大家可以看到8个LED指示灯从D2到D9不停地连续点亮。
等待验证会员
16:29:44  
怎麼一直不足,可以給我一次多點積分嗎
20:49:15  
这个悬赏是几个意思?
等待验证会员
20:06:03  
我也看看,和喜欢这个
Powered by> 初学LED流水灯效果
初学LED流水灯效果
在电子工程世界为您找到如下关于“初学LED流水灯效果”的新闻
初学LED流水灯效果资料下载
初学LED流水灯效果,请多多关照北京柯林斯达...
初学LED流水灯效果相关帖子
 C2000来说,尤其对于初学者,不要满足于操作各种外设,这和用51 STM32 没什么区别,多多做一些数字信号处理方面的东西,以此来学习各种算法思想,锻炼自己的编程功底才是硬道理。当用DSP做了语音识别、图像处理之后才会发现比用他写流水灯、测温湿度有趣多了。
4、关于学习资料查找
在TI网站的搜索中用keyword搜索资料,主要要注意的就是Application Notes,user...
; && && && && &&&Board_LED_SetBitState ( 2, 0 );
其调试i效果为:
点亮一个LED:
以前没有接触过LPC的芯片,一切都是从头...
Atmel 还是在大二学习C51的时候,第一次在开发板上点亮流水灯,第一次动手焊板子,第一次做温度计,直到对这个东西产生了兴趣。今天看了这篇文章,这款开发板功能还是很强大的,低功耗,高频率,便于焊接的芯片封装等,特别值得一提的是完全免费的开发环境,对于像我这样的初学者来说实在是太实用了,文章中还介绍了如何使用以及如何安装,看了几个开发实例,还有入门教程,官方培训资料,有种跃跃欲试的冲动了,还等什么呢...
很激动,那时候在学校也没多少钱,开一次板子100+,好怀恋的。
按报纸(北京电子报,80年代)上的电路(流水灯),手刻的一块,主要元件:3个三极管,若干电阻电容
蚀刻了一块功放板
80年代()我国很少看到PCB的。一般是用支架焊元件。 回复 34楼 sacq 的帖子 原帖由 sacq 于
22:24 发表
按报纸(北京电子报,80年代)上的电路(流...
174楼 zsmm 的帖子 我觉得学这个uCOS操作系统就像当初学单片机一样,老师整天不停地讲指令、架构、寄存器,学的自己是云里雾里。大家应该讨论一下,如何来开展学习uCOS的步骤,让大家不至于一开始就对uCOS失去信心。
感觉可以尝试从BC上运行最简单的程序作为切入口,这样可以最直观的感受理解其运行机制
最重要的是兴趣,首先要知道你学这个有什么用?你打算用来做什么。确定一个明确的目标,然后找一个你...
10:10 发表
夏老师: & 关于NIOS方面的应用在图书馆或书店找不到合适的比较深刻的资料你能推荐一些这方面的资料吗?
关于NIOS方面的应用资料应该到Altera公司的网站查询。Quartus工具的帮助文件中也有一些,都是英文的,阅读英文计算机技术文献要有一定的速度和理解力,否则太费力,怕白费力,效果不好。最好配合Quartus软件中...
最近参加了学校的电子设计竞赛。对电子制作很感兴趣,由于才接触单片机,有很多单片机的问题。
能力也有限,就想尝试做一个简单的彩色流水灯。
思路如下:
1.在一块几厘米长的长方形电路板上,用不同颜色的二极管组成一条流水线路。
再在板上固定好芯片,形成流水灯的效果。
2.在板子的重心处用电机带动板子转动,我想这样的效果肯定要比不旋转时的效果更漂亮些。
不懂的地方:
1.什么样的...
所有IO口均用上了,毫不浪费。为了使流水灯演示板的效果看起来更养眼,每组用8个LED并联排列显示,一共是32组,由32个IO口分别控制。为降低制作难度,制作的时候用的是8X8的LED显示组件,一共用了4个刚好32组。电路用的元件虽然不少,但是原理上非常简单,只要将相应的IO口置低电平,那么该组的LED排就点亮,有规律的控制IO口就会显示出所需的花样。因为单片机的IO口驱动电流有限,所以每排LED用...
AVR学习笔记一、基本输出实验
1、点亮LED试验
2、流水灯试验
3、蜂鸣器试验
4、1位数码管显示试验
5、四位数码管显示试验
6、1602液晶显示试验
7、12864液晶显示实验。
注意:本学习笔记会一直连载下去的,今天增加第二十四篇。
这一篇我继续学习模数转换器,实现了利用TLC2543采集模拟电压的采集的功能。
需要特别说明的是,前21篇学习笔记都是基于...
结构,来用P1口点亮流水灯,
2)搞几个LED数字块,学习LED驱动,再搞个按钮,学习按钮的编程,
1)搞个光电隔离模拟工业环境的数字量输入,显示在LED字上,
2)用定时器产生可变方波,
3)加块MAX232与PC机进行RS232通讯,也很容易可变成RS485
4) 与UL2003等连接与继点器连接可控制电机...等
SPI...IIC..时钟,LCD屏使用,.....与...
初学LED流水灯效果视频
初学LED流水灯效果创意
本周热门资源推荐> > > 利用if语句实现流水灯设计。工具:Quartus ii 6.0 语言:VHDL利用if语句实现流水灯设计。工具:Quartus ii 6.0 语言:VHDL已有 431903个资源相关资源下载专区上传者其他资源FPGA/CPLD热门资源本周本月全部文档信息资源大小:103.01KB上 传 者: () 上传日期:资源类型:应用文档资源积分:1分评
论:下载次数:0参与讨论:标&&&&签:分&&&&享:文档简介利用if语句实现流水灯设计。工具:Quartus&ii&6.0&语言:VHDL文件列表.BMP----|000005.BMP----|000010.BMP----|000024.BMP----|000025.BMP----|000027.BMP----|000039.BMP----|000059.BMP----|000068.BMP----|000074.BMP----|000078.BMP----|000092.BMP----|000108.BMP----|000109.BMP----|000113.BMP----|000122.BMP----|Thumbs.db.NET Compact Framework .dep----|lcd_lib.o.d----|main.o.d----|twi_i2c.o.d.deps----|ControlResource.Po----|DCU99Editor.Po----|DuplexEditor.Po.eclipseme.tmp----|emulation----|----|PhoneBook.jad----|----|PhoneBook.jarverified----|classes----|----|com----|----|----|north----|----|----|----|phonebook----|----|----|----|----|model----|----|----|----|----|----|Account.class----|----|----|----|----|----|ApplicationException.class----|----|----|----|----|----|Index.class----|----|----|----|----|----|Model$AccountFilter.class----|----|----|----|----|----|Model$IndexFilter.class----|----|----|----|----|----|Model.class----|----|----|----|----|----|Preference.class----|----|----|----|----|----|UserModel.classui----|ConfirmationDialog.class----|DetailInfoUI.class----|Dialog.class----|DialogListener.class----|IndexFunctionUI$ListIndex.class----|IndexFunctionUI.class----|ListMerchantGroupUI.class----|ListPhoneUI.class----|LoginUI.class----|MerchantGroupDetailInfoUI.class----|NewPhoneUI.class----|SearchPhoneUI.class----|Title.class----|UIController$1.class----|UIController$EventID.class----|UIController.class----|WaitCanvas$1.class----|WaitCanvas.class----|WelcomeUI.classPhoneBookMIDlet.class.metadata----|.plugins----|----|org.eclipse.core.resources----|----|----|.projects----|----|----|----|Nios II Device Drivers----|----|----|----|----|.location----|----|----|----|----|.propertieshello_led_0----|.markers----|.propertieshello_led_0_syslib----|.markers----|.properties.root----|112.tree.safetable----|org.eclipse.core.resourcesorg.eclipse.core.runtime----|.settings----|----|org.eclipse.cdt.core.prefs----|----|org.eclipse.cdt.ui.prefs----|----|org.eclipse.ui.prefsorg.eclipse.ui.workbench----|dialog_settings.xml----|workbench.xml.lock.logversion.ini.myeclipse----|CVS----|----|Repository----|----|Root.settings----|CVS----|----|Entries----|----|Repository----|----|Rootorg.eclipse.core.resources.prefs.sopc_builder----|install.ptf.svn----|prop-basepropstext-base----|readme.txt.svn-basetmp----|prop-basepropstext-baseall-wcpropsdir-prop-baseentriesformat.tmp_versions----|dw4002.modmyled----|db----|----|myled.(0).cnf.cdb----|----|myled.(0).cnf.hdb----|----|myled.(1).cnf.cdb----|----|myled.(1).cnf.hdb----|----|myled.(2).cnf.cdb----|----|myled.(2).cnf.hdb----|----|myled.(3).cnf.cdb----|----|myled.(3).cnf.hdb----|----|myled.(4).cnf.cdb----|----|myled.(4).cnf.hdb----|----|myled.(5).cnf.cdb----|----|myled.(5).cnf.hdb----|----|myled.(6).cnf.cdb----|----|myled.(6).cnf.hdb----|----|myled.asm.qmsg----|----|myled.cbx.xml----|----|myled.cmp.rdb----|----|myled.db_info----|----|myled.dbp----|----|myled.eco.cdb----|----|myled.fit.qmsg----|----|myled.hier_info----|----|myled.hif----|----|myled.map.cdb----|----|myled.map.hdb----|----|myled.map.logdb----|----|myled.map.qmsg----|----|myled.pre_map.cdb----|----|myled.pre_map.hdb----|----|myled.psp----|----|myled.rtlv.hdb----|----|myled.rtlv_sg.cdb----|----|myled.rtlv_sg_swap.cdb----|----|myled.sgdiff.cdb----|----|myled.sgdiff.hdb----|----|myled.sld_design_entry.sci----|----|myled.sld_design_entry_dsc.sci----|----|myled.syn_hier_info----|----|myled.tan.qmsg----|----|myled_cmp.qrpt----|----|wed.zsfcmp_state.inimyled.asm.rptmyled.cdfmyled.donemyled.fit.eqnmyled.fit.rptmyled.fit.summarymyled.flow.rptmyled.map.eqnmyled.map.rptmyled.map.summarymyled.pinmyled.pofmyled.qpfmyled.qsfmyled.qwsmyled.sofmyled.tan.rptmyled.tan.summarymyled.vhdmyled.vwfmyled_assignment_defaults.qdf. Online calibration of Nyquist-rate analog-to-digital converters.pdf..dir.dat.DS_Store.NET Compact Framework mpact Framework ._.DS_Store._CotEditor_346._MacOS_Setup._PortVaR.actionScriptProperties.apriori_config.ccsproject.classpath.cproject.cvsignore.cxl.depend.dw4002.ko.cmd.dw4002.mod.o.cmd.dw4002.o.cmd.exe.flexProperties.gitignore.htaccess.indent.pro.message.pdf.project.qmake.internal.cache.screenrc.synopsys_dc.setup.synopsys_pt.setup.untf.vimrc.xhdl3.xref相关帖子大学堂最新课程请选择理由 辱骂 色情 广告提交若举报审核通过,可奖励2下载分举报人:被举报人:lamaba举报的资源分:1* 类型:请选择类型资源无法下载资源分类不正确资源无法使用标题与实际内容不符含有危害国家安全内容含有反动色情等内容含广告内容版权问题,侵犯个人或公司的版权其他* 详细原因:回到顶部EEWORLD下载中心所有资源均来自网友分享,如有侵权,请发送举报邮件到客服邮箱service(.cn 或通过站内短信息或QQ:联系管理员okhxyyo,我们会尽快处理。基于FPGA的流水灯实验源程序和测试程序_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
基于FPGA的流水灯实验源程序和测试程序
上传于||暂无简介
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
下载文档到电脑,查找使用更方便
还剩2页未读,继续阅读
你可能喜欢

我要回帖

更多关于 基于fpga的流水灯 的文章

 

随机推荐