设计一个数字在线秒表计时器

您的位置: &
一种基于FPGA的数字秒表设计方法
优质期刊推荐lab16 利用verilog设计一个数字秒表电路。可以通过按键开始计时, 完毕,清零设定。 VHDL-FPGA-
238万源代码下载-
&文件名称: lab16
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 4582 KB
&&上传时间:
&&下载次数: 0
&&提 供 者:
&详细说明:利用verilog设计一个数字秒表电路。可以通过按键开始计时,计时完毕,清零设定。-Use verilog design a digital stopwatch circuits. Can be key will begin counting is completed, clear the settings.
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&lab16\ise\.lso&&.....\...\stopwatch.bgn&&.....\...\stopwatch.bit&&.....\...\stopwatch.bld&&.....\...\stopwatch.cmd_log&&.....\...\stopwatch.drc&&.....\...\stopwatch.ise&&.....\...\stopwatch.ise_ISE_Backup&&.....\...\stopwatch.lso&&.....\...\stopwatch.ncd&&.....\...\stopwatch.ngc&&.....\...\stopwatch.ngd&&.....\...\stopwatch.ngr&&.....\...\stopwatch.ntrc_log&&.....\...\stopwatch.pad&&.....\...\stopwatch.par&&.....\...\stopwatch.pcf&&.....\...\stopwatch.prj&&.....\...\stopwatch.restore&&.....\...\stopwatch.stx&&.....\...\stopwatch.syr&&.....\...\stopwatch.twr&&.....\...\stopwatch.twx&&.....\...\stopwatch.unroutes&&.....\...\stopwatch.ut&&.....\...\stopwatch.xpi&&.....\...\stopwatch.xst&&.....\...\stopwatch_dcm.tfi&&.....\...\stopwatch_dcm.v&&.....\...\stopwatch_dcm.xaw&&.....\...\stopwatch_dcm_arwz.ucf&&.....\...\stopwatch_guide.ncd&&.....\...\stopwatch_map.map&&.....\...\stopwatch_map.mrp&&.....\...\stopwatch_map.ncd&&.....\...\stopwatch_map.ngm&&.....\...\stopwatch_pad.csv&&.....\...\stopwatch_pad.txt&&.....\...\stopwatch_prev_built.ngd&&.....\...\stopwatch_summary.html&&.....\...\stopwatch_summary.xml&&.....\...\stopwatch_usage.xml&&.....\...\xaw2verilog.log&&.....\...\.st\dump.xst\stopwatch.prj\ntrc.scr&&.....\...\...\work\hdllib.ref&&.....\...\...\....\vlg05\control__1.bin&&.....\...\...\....\....6\control__2.bin&&.....\...\...\....\...10\counter.bin&&.....\...\...\....\....D\stopwatch.bin&&.....\...\...\....\....E\display.bin&&.....\...\...\....\...28\dff.bin&&.....\...\...\....\...33\button__press__unit.bin&&.....\...\...\....\....8\div__n.bin&&.....\...\...\....\....C\counter__10.bin&&.....\...\...\....\.....\width.bin&&.....\...\...\....\...47\div.bin&&.....\...\...\....\...50\stopwatch__dcm.bin&&.....\...\...\....\....5\counter__60.bin&&.....\...\...\....\...6D\synch.bin&&.....\...\_impact.cmd&&.....\...\_impact.log&&.....\...\.ngo\netlist.lst&&.....\...\.xmsgs\bitgen.xmsgs&&.....\...\......\map.xmsgs&&.....\...\......\ngdbuild.xmsgs&&.....\...\......\par.xmsgs&&.....\...\......\trce.xmsgs&&.....\...\......\xst.xmsgs&&.....\sim\button_press_unit_tb.cr.mti&&.....\...\button_press_unit_tb.mpf&&.....\...\control_2_tb.cr.mti&&.....\...\control_2_tb.mpf&&.....\...\counter_tb.cr.mti&&.....\...\counter_tb.mpf&&.....\...\display.cr.mti&&.....\...\display.mpf&&.....\...\div_tb.cr.mti&&.....\...\div_tb.mpf&&.....\...\transcript&&.....\...\vish_stacktrace.vstf&&.....\...\vsim.wlf&&.....\...\work\@_opt\vopt1evxsq&&.....\...\....\.....\vopt1fr09s&&.....\...\....\.....\vopt4c9mbs&&.....\...\....\.....\vopt4ygtsq&&.....\...\....\.....\vopt5fixrq&&.....\...\....\.....\vopt7wyibs&&.....\...\....\.....\vopt8f3s8s&&.....\...\....\.....\voptbckebs&&.....\...\....\.....\voptbyvksq&&.....\...\....\.....\voptczrn8s&&.....\...\....\.....\voptew9bbs&&.....\...\....\.....\voptfehgsq&&.....\...\....\.....\voptffej8s&&.....\...\....\.....\voptjy6dsq&&.....\...\....\.....\voptjz3g8s&&.....\...\....\.....\voptnewasq&&.....\...\....\.....\voptnwk5bs&&.....\...\....\.....\voptsca1bs&&.....\...\....\.....\voptted79s
&输入关键字,在本站238万海量源码库中尽情搜索: 上传我的文档
 下载
 收藏
各专业毕业设计论文,课程设计,设计方案,营销策划资料,部分毕业设计含有图纸,源代码,需求者可留言联系我
 下载此文档
正在努力加载中...
《EDA》课程设计-数字秒表的设计
下载积分:2000
内容提示:《EDA》课程设计-数字秒表的设计
文档格式:DOC|
浏览次数:14|
上传日期: 09:49:43|
文档星级:
该用户还上传了这些文档
《EDA》课程设计-数字秒表的设计
官方公共微信一种基于FPGA的数字秒表设计方法
> 一种基于FPGA的数字秒表设计方法
一种基于FPGA的数字秒表设计方法
摘要:文中介绍了一种基于的。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。最后经实验验证,该计时准确,输入信号能准确控制秒表运行。系统所采用的自上而下的模块化,对于其他复杂的系统设计也有很强的借鉴意义。关键词:;;模块化设计;VHDL 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列。对于芯片设计而言,FPCA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。 文中着重介绍了一种基于FPGA利用VHDL硬件描述浯言的数字秒表,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。1 总体功能结构设计 一个完整的数字秒表应具有计时、相应的控制以及计时结果显示功能,总体的功能结构如图1所示。黑色线框内是计数模块、使能转化模块和显示译码模块,左边是输入控制信号,右边是显示计时结果的数码显示管,用六位BCD七段数码管显示读数,显示格式如图2,计时范围为:1小时,精度为0.01s。本文引用地址: 输入时钟信号由32MHz的石英晶振提供,考虑到设计指标要求秒表精度为0.01秒,计数脉冲的时钟输入就应该是频率为100Hz的脉冲,所以先要设计一个320000分频器,分频器的输出可作计数器的输入;其次计数模块设计应综合考虑秒表的计时范围(1小时)和显示输出(6位输出),6位输出中有两位是六进制输出,其余四位是十进制输出,所以可通过设计4个模10计数器和2个模6计数器来实现,其中较低位的进位输出就是高位的计数输入端。 控制模块应包括开始计时/停止计时、复位两个按钮,即电路设计经常用到的使能端和清零端,这两个控制端口直接接到计数器的清零和史能端即可实现复位、开始计时/停止计时;但是外围使能输入需要经过使能转换电路后,才可变为计数器可用的使能控制信号。因此在输入使能信号和计数器使能输入之间需设计一个信号转换模块。 显示计数结果的模块实现较为简单,只需将六位计数结果通过七段译码电路接到输出即可点亮数码管,无需时序控制,直接用组合逻辑电路就可以实现。数码管显示可以采用扫描显示,用一个频率1KHz的信号扫描一个多路选择器,实现对六位已经锁存的计数结果的扫描输出。2 各功能模块设计2.1 分频器模块 分频器的功能是提供标准时钟控制信号以精确控制计数器的开闭,提供的标准信号是32MHz,根据设计精度0.01s的要求,输出信号是100Hz,所该分频器实现的功能是320000分频,具体的VHDL源程序: 2.2 计数模块 该计数器要实现最大计数值为59分59秒99的计数,而且为了数码管显示方便,该模块必须通过计数器的级联来实现,即首先分别设计一个模6计数器和一个模10计数器,然后将他们级联,其中调用4次模10计数器、2次模6计数器,这样可以比直接设计模100的计数器和模60的计数器节省资源。级联时低位的计数进位输出接高位的计数输入端,如图3所示。再考虑到控制模块的要求,每个计数器有三个输入端:时钟、使能和清零,两个输出端:计数输出和进位输出,采用同步使能异步清零的设计方法,每个计数器的使能和清零端都与外围的使能和清零端相联。
分频器相关文章:
分享给小伙伴们:
我来说两句……
最新技术贴
微信公众号二
微信公众号一

我要回帖

更多关于 手机秒表 的文章

 

随机推荐