编写上升沿触发的vhdl 调用d触发器器的VHDL语言程序

2. 利用VHDL语言描述一个带有同步复位功能的上升沿触发D触发器_百度知道&&& 今日偶然看到一些知名企业的笔试试题,随便扫描了下,看到有几道关于FPGA/CPLD的题目,小小的编程题,用VerilogHDL或VHDL语言编触发器,脑袋转了一下,模糊似乎清晰,清晰又像浸入模糊,呵呵。。。上半年,由于Boss项目,学习了FPGA,学的有点急,也断断续续的,才过几个月,似乎知识已经远去,打开电脑,速览以前的资料,记忆又回来了。。。简单记录下这道题,权当回忆。。。
//基本D触发器
module D_EF(Q,D,CLK)
input D,CLK;
reg Q;&&&&&&&&&&&&&&&&&&&&&&&&& &//在always语句中被赋值的信号要声明为reg类型 寄存器定义
always @ (posedge CLK) //上升沿,下降沿用negedge表示,^_^ 需要记忆
&&&&&& begin Q &= D; end
//带异步清0、异步置1的D触发器
module D_EF(q,qn,d,clk,set,reset)
input d,clk,set,
reg q,//寄存器定义
always @ (posedge clk or negedge set or negedge reset)
&&&&&& begin
&&&&&&&&&&&&& if(!reset) begin q&=0;qn&=1;end//异步清0,低有效
&&&&&&&&&&&&& else if(!set) begin q&=1;qn&=1;end //异步置1,低有效
&&&&&&&&&&&&& else begin q&=~d;qn&=~d;end
&&&&&& end&&
//带同步清0、同步置1的D触发器
module D_EF(q,qn,d,clk,set,reset)
input d,clk,set,
always @ (posedge clk)
&&&&&& begin
&&&&&&&&&&&&& if(reset) begin q&=0;qn&=1;end//同步清0,高有效
&&&&&&&&&&&&& else if(set) begin q&=1;qn&=1;end //同步置1,高有效
&&&&&&&&&&&&& else begin q&=~d;qn&=~d;end
&&&&&& end&&
SkySeraph &&& &&&& HQU XiaMen China
Email:& QQ:&
阅读(...) 评论()您的举报已经提交成功,我们将尽快处理,谢谢!
把文章片断发上来;
提高悬赏分。
大家还关注> 问题详情
上升沿触发和下降沿触发的D触发器逻辑符号及时钟信号CP()和D的波形如下图所示。分别画出它们的Q端波形。设触
悬赏:0&答案豆
提问人:匿名网友
发布时间:
上升沿触发和下降沿触发的D触发器逻辑符号及时钟信号CP()和D的波形如下图所示。分别画出它们的Q端波形。设触发器初始状态为0。&
网友回答(共1条)
匿名网友&&&&lv1&&&&提问收益:0.00&答案豆
真值表怎么列
我有更好的答案
相关考试课程
请先输入下方的验证码查看最佳答案
图形验证:
验证码提交中……【图文】EDA课后习题_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
EDA课后习题
上传于||暂无简介
大小:2.23MB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢

我要回帖

更多关于 上升沿触发 的文章

 

随机推荐