为什么半导体工艺流程图制程工艺不能跨越式发展

半导体工艺中的质量管理问题_百度知道
半导体工艺中的质量管理问题
比如将六西格玛利用到半导体生产中等等希望有相关从业人员的解答,感激不尽当然。但由于缺乏实践,我想结合本科所学的知识,半导体学的还可以要做论文了,本科学的是电子我是企业管理专业的硕士,不知道半导体生产流程中对质量是如何控制的不知道这方面有没有可研究的地方
提问者采纳
通过这样的数据和图形你问的是关于Q的问题,既十一分之二,包括目标值和范围也要建进去:假设目标值M.(xn-x)^2]&#47、散布图。比如,以及记录下数据,线上的TA(作业员)就会把wafer拿到量测机台上去测试,建议你看看关于Q的专业书籍.。怎么长。长好膜后,公差L(范围)。APC就是由Q部门管控的;M+L 都是合格的。这种数据就以箱型图.,把数据输进去后:现在我要长一层8000A(目标值)的BPSG film。半导体生产中。我以前在半导体公司做过制程。依常态分布计算,《统计制程控制》《质量管理》等等,M-L&lt,培训过一些Q的知识;n 标准差=方差的算术平方根这个6sigma其实说起蛮复杂的,在办公室的工程师和Q部门的人随时可以从系统上抓到实时数据.、机台状态.;X&6 或者 6D=L?是thin film Module(薄膜部门) 工程师在机台上建立好程式.。方差s^2=[(x1-x)^2+(x2-x)^2+。希望对你有所帮助。设我们产线上所得到得数据是X,我们就可以及时监控线上的生产进度,该特性不合格的几率为0,是通过在系统上设置目标值及范围来对质量的进行监控,也就是说规格公差为标准差的6倍,标准差D=L&#47,再输进系统、推移图的形式出现.002ppm、以及run货的质量问题。至于你问的6sigma的应用。当然如果你能找到Q的专业人士最好不过了,这个系统叫APC(先进制程控制)
提问者评价
我的论文现在初步定为供应商质量管理方向,这样后期调研比就某一行业更容易实施一些~不过真的很感谢你
其他类似问题
为您推荐:
半导体的相关知识
其他1条回答
祝成功。你最好到一个单位去了解一下,大有研究之必要,你的工作一定会受到很大的欢迎半导体工艺中的质量管理是一个很重要的问题,现在尚有很多不健全的地方。只要你去了解以后,看看问题之所在,就会知道
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁&&&&芯片制造半导体工艺制程实用教程第5版芯片制造 中文版本
&芯片制造半导体工艺制程实用教程第5版芯片制造 中文版本
芯片制造半导体工艺制程实用教程第5版芯片制造 中文版本 很全的
很好的资料
若举报审核通过,可奖励20下载分
被举报人:
chocomouse26
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:
VIP下载&&免积分60元/年(1200次)
您可能还需要
Q.为什么我点的下载下不了,但积分却被扣了
A. 由于下载人数众多,下载服务器做了并发的限制。若发现下载不了,请稍后再试,多次下载是不会重复扣分的。
Q.我的积分不多了,如何获取积分?
A. 获得积分,详细见。
完成任务获取积分。
论坛可用分兑换下载积分。
第一次绑定手机,将获得5个C币,C币可。
关注并绑定CSDNID,送10个下载分
下载资源意味着您已经同意遵守以下协议
资源的所有权益归上传用户所有
未经权益所有人同意,不得将资源中的内容挪作商业或盈利用途
CSDN下载频道仅提供交流平台,并不能对任何下载资源负责
下载资源中如有侵权或不适当内容,
本站不保证本站提供的资源的准确性,安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
课程资源下载排行
您当前C币:0&&&可兑换 0 下载积分
兑换下载分:&
消耗C币:0&
立即兑换&&
兑换成功你当前的下载分为 。前去下载资源
你下载资源过于频繁,请输入验证码
如何快速获得积分?
你已经下载过该资源,再次下载不需要扣除积分
芯片制造半导体工艺制程实用教程第5版芯片制造 中文版本
所需积分:3
剩余积分:0
扫描微信二维码精彩活动、课程更新抢先知
VIP会员,免积分下载
会员到期时间:日
剩余下载次数:1000
芯片制造半导体工艺制程实用教程第5版芯片制造 中文版本
剩余次数:&&&&有效期截止到:
你还不是VIP会员VIP会员享免积分 . 专属通道极速下载
VIP下载次数已满VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员
你的VIP会员已过期VIP会员享免积分 . 专属通道极速下载,请继续开通VIP会员《中国IC 28纳米工艺制程发展》白皮书发布--百度百家
《中国IC 28纳米工艺制程发展》白皮书发布
分享到微信朋友圈
日前,中芯国际与高通合作,在28纳米量产上取得突破,不仅保持了追赶国际先进工艺水平的势头,为公司持续赢利奠定基础;其在技术研发过程中所采取的联合创新模式也为中国IC产业发展做出了有益探索。
虽然半导体晶圆制造工艺在摩尔定律的指导下不断向前演进,世界上最先进的量产技术已经达到14纳米,IBM更是与格罗方德于近日共同研制出第一款采用7纳米的处理器。但是必须承认,目前市场上需求量最大、竞争最激烈的工艺节点仍是28纳米。日前,国内最大的晶圆代工厂中芯国际与高通合作,在28纳米量产上取得突破,不仅保持了追赶国际先进工艺水平的势头,为公司持续赢利奠定基础;其在技术研发过程中所采取的联合创新模式也为中国IC产业发展做出了有益探索。
28纳米是一个关键节点
近日,赛迪顾问发布了“《中国IC 28纳米工艺制程发展》白皮书”(以下简称《白皮书》,指出28纳米将是目前阶段以及未来很长一段时间晶圆制造市场的关键工艺节点。对此,赛迪顾问总裁李树翀指出:“根据国际半导体工艺演进路线图,40纳米、32纳米、28纳米、22纳米等都是工艺进步的节点。
然而,产业界从40纳米向下演进时,中间经32纳米却很快跳跃到28纳米。因为当工艺演进到32纳米时,使用基本相同的光刻设备可以延伸缩小到28纳米。在成本几乎相同的情况下,使用28纳米工艺制程可以给产品带来更加良好的性能优势。与40纳米工艺相比,28纳米栅密度更高,晶体管的速度提升了大约50%,而每次开关时的能耗则减小了50%。”
半导体专家莫大康做出过同样的表述。“28nm制程可以认为是半导体业的拐点。因为以前半导体业界惯常的凭电路线宽缩小推动产业进步的方法到此发生了重大变化,通常每两年前进一个工艺节点可以减少制造成本约50%的法则,到28nm时已经减缓。反映出产业向更小尺寸的动力己不如从前。”莫大康说。
这些年来,台积电能够稳坐国际代工龙头,率先量产28纳米工艺,并保证高成品率有着莫大的关系。台积电于2011年第四季度28nm量产启步,最高峰时占据全球28纳米市场的80%。根据Gartner数据,2014年的全球代工销售额470亿美元,台积电以251亿美元占53%,增长达25.2%。另据业界有人估计全球代工业中28纳米订单其中80%落入台积电手中,而代工80%以上的总利润由它独家享用。
28纳米之所以如此重要,从工艺角度看,与40纳米工艺相比,28纳米栅密度更高、晶体管的速度提升了大约50%,而每次开关时的能耗则减小了50%。此外,目前28纳米采用的是193纳米的浸液式方法,当尺寸缩小到22纳米/20纳米时,传统的光刻技术已无能为力,必须采用辅助的两次图形曝光技术。然而这样会增加掩膜工艺次数,从而致使成本增加和工艺循环周期扩大。这就造成了20纳米/22纳米无论从设计还是生产成本上一直无法实现很好的控制,其成本约为28纳米工艺成本的1.5倍到2倍。
从市场需求角度看,随着28纳米工艺技术的成熟,28纳米工艺产品市场需求量呈现爆发式增长态势——从2012年的91.3万片到2014年的294.5万片,年复合增长率高达79.6%,并且这种高增长态势将持续到2017年。白皮书还表示,因为成本等综合原因,14纳米/16纳米不会迅速成为主流工艺,因此,28纳米工艺将会在未来很长一段时间内作为高端主流的工艺节点。
中国量产28纳米影响深远
今年,中国企业在28纳米工艺上已经取得了重大进展。中芯国际CEO邱慈云表示:“中芯国际代工制造的、采用28纳米工艺制程的高通骁龙410处理器已经成功应用于主流智能手机,这是28纳米核心芯片实现商业化应用的重要一步。中芯国际在北京厂二期规划了3.5万片/月12英寸晶圆的产能,预计3年左右能够达产。”
《白皮书》提供的资料显示,28纳米主要包括两条工艺路线:多晶硅(PolySiON)和高介电常数金属闸极(HKMG)。中芯国际首先量产的将是PolySiN工艺,下一步集中在HKMG工艺。此外,上海华力微电子2014年12月宣布与联发科技在28纳米工艺技术和晶圆制造服务方面紧密合作,主要集中在移动通信处理器领域。
中国企业实现28纳米量产突破,其中的意义不仅是在工艺路线图上取得又一个进步,更重要的在于其商业价值与产业意义。“28纳米工艺技术因其性价比高、应用领域广泛,预计还将持续4年到5年。又因为成本原因,14纳米/16纳米不会迅速成为主流工艺,因此,28纳米工艺将会在未来很长一段时间内作为高端主流的工艺节点。
考虑到中国物联网应用领域巨大的市场需求,28纳米工艺技术预计在中国将持续更长时间(6年至7年)。2015年到2016年,28纳米工艺主要应用领域仍然为手机应用处理器和基带。2017之后,28纳米工艺虽然在手机领域的应用有所下降,但在其他多个领域则迅速增加,如OTT盒子和智能电视等。预计2019年到2020年,混合信号产品和图像传感器芯片也将会规模采用28纳米工艺。”李树翀表示。
而商业上的成功以及盈利,将使企业有更多资源投入到下一代技术的研发上,使技术进步得以持续。事实上,中芯国际正在做14纳米工艺的开发。今年6月成立的中芯国际新技术研发公司以量产技术为目标,前期就引入了国际领先的产业链上的合作伙伴,如IMEC,它在几年前已经完成14纳米的研发技术,高通、华为都是世界领先的设计公司。“我们也一直在持续开发和FinFET晶体管验证。中芯国际在14纳米FinFET专利拥有数量已达全球十强,在中国处于领先地位。”邱慈云说。
联合创新成重要发展模式
《白皮书》在分析中芯国际与高通在28纳米上的合作,中芯国际与IMEC、高通、华为在14纳米技术开发上展开合作的基础上指出,联合创新将开启IC产业发展新模式。这种模式可在实现分工的基础上合作,在合作的基础上分工;联合创新模式中,各种领域内有所长的企业,彼此以深度伙伴关系合作的形式,达到各环节的有效垂直整合。
根据李树翀的介绍,目前半导体行业内的发展模式大致可以分成三种:IDM模式,即从设计、到制造、封装测试以及投向市场全包的运营方式。代表企业有三星、英特尔、德州仪器等。优点是内部资源整合能力强、利润高、技术领先等,但维持整个产业链运转的投入非常大,高投入会使IDM厂商无暇顾及技术创新,产业链上的多环节也会分散投入的研发资源,不利于技术创新。此外还存在产能和市场难以匹配等问题。”
而随着行业分工的逐渐深入,半导体业形成了专业的IP(知识产权)核、无生产线的IC设计(Fabless)、晶圆代工(Foundry)及封装测试(Package & Testing)厂商。这是行业分工模式。该模式的最大优点是灵活性强。然而,当前IC的工艺正接近物理极限,诸多技术挑战的突破需要产业链各环节的企业共同进行技术研发。但在行业分工模式中,Fabless在开发新产品时,难以及时与Foundry的工艺流程对接,延缓新品上市时间。同时,Foundry标准化的工艺研发,不利于满足客户特色需求;各Foundry工艺不统一,增加了Fabless适配难度。
至于联合创新这种IC产业发展的新模式,各种领域内有所长的企业彼此结盟,达到各环节有效地垂直整合,IC产品的优化在设计和工艺二维空间进行,不仅可以修改设计,还可以调整工艺。这种创新模式将IC产业链中的设计企业、制造企业串联成网状的虚拟结构,即所谓产业结构上的虚拟再整合。将加快Foundry工艺进步速度,有助突破产业发展瓶颈,提高Fabless工艺适配能力,提升产品性能优化空间。
“中芯国际与高通的联合创新模式,在协同技术创新、相互经验分享、联合人才培养、促进市场开拓等方面,都有着诸多的实践。这种联合创新是一种从技术到产业的全生态合作,有效地加速了28纳米制程技术在中国的采用。”李树翀指出。
半导体行业垂直整合、联合创新模式是大趋势
当前国际半导体业界一个重要趋势是经过多年的行业分工发展之后,重新朝着垂直整合转向。最明显的例子就是在苹果公司自行研制iPhone应用处理器取得成功后,越来越多的系统整机厂重新开始自研核心芯片;芯片厂商也在Fabless流行多年之后,越来越多开始拥抱轻晶圆(Fablite)策略。
分析这种现象出现的原因,一方面是因为IC的工艺正接近物理极限,技术突破往往需要产业链各环节企业共同研发,另一方面是因为应用市场对半导体行业的技术发挥着越来越大的影响,以致有人提出“大系统设计”的理念。“芯片设计正变得越来越复杂,IC设计工程师需要考虑的问题从原来的芯片级扩展到(PCB)板级再到系统级别。”Cadence总裁兼CEO陈立武表示。而联合创新模式正是符合时代趋势的一种发展模式。
不过,此前国内就有人提出,推进半导体产业发展的“虚拟IDM模式”——通过我国IT和IC两大产业供需价值链的构建,提高企业集群的创新力,完善产业生态环境、增强市场竞争力。
现在部分企业已经开始探索以资本为纽带的创新发展模式,比如华为建立海思,将芯片设计内制化;紫光则试图以资本为纽带打造云网端体系。
联合创新模式的成功之处在于,它提出了一个可以涵盖晶圆制造企业的全产业链创新发展的新思路。这是此前紫光与华为在探索中都没有涉及到的。
分享到微信朋友圈
在手机阅读、分享本文
还可以输入250个字
推荐文章RECOMMEND
阅读:3821
阅读:1127
阅读:12万
阅读:11万
阅读:10万
热门文章HOT NEWS
两年前IPO时京东的总市值大约相当于亚马逊的五分之一,现在则只...
港股挖掘机
花儿街参考
百度新闻客户端
百度新闻客户端
百度新闻客户端
扫描二维码下载
订阅 "百家" 频道
观看更多百家精彩新闻一直有个疑问为什么Intel的制程工艺会前些年领先专业晶圆代工的台积电辣么多
Intel作为一家主营处理器芯片的公司在晶圆代工方面却一直处于领先地位,早在2012年就提前进入22nm制程,而台积电、格罗方德这类则在32nm/28nm徘徊N年
看研发费用。
毕竟人类希望
因特尔的研发费用是其他半导体公司之和[s:ac:汗][s:ac:汗][s:ac:汗]至少是 amd 英伟达之和
因为当时美军搜集到的外星人飞船残骸里面使用的就是超前公益的,Intel只不过得到军方的一点支援而已,安啦
现在台积电反超了吧,年底台积电10nm量产,明年7nm流片,三星明年上半年10nm量产,英特尔貌似遇到麻烦了10nm得18年量产
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]decg[/uid] ( 15:51)[/b]吹牛还是台漏电会吹。。。
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]decg[/uid] ( 15:51)[/b]投产了而且没有问题才算数
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]chinaISA[/uid] ( 16:00)[/b]报道是这么说的[s:ac:茶]
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]decg[/uid] ( 15:51)[/b][s:ac:晕]哪里的消息
一图说明问题[img]./mon_/436_b168.jpg[/img]
[quote][pid=66005,1]Reply[/pid] [b]Post by [uid=]decg[/uid] ( 15:51):[/b]现在台积电反超了吧,年底台积电10nm量产,明年7nm流片,三星明年上半年10nm量产,英特尔貌似遇到麻烦了10nm得18年量产[/quote]台巴子吹牛逼而已
[quote][pid=66005,1]Reply[/pid] [b]Post by [uid=]decg[/uid] ( 15:51):[/b]现在台积电反超了吧,年底台积电10nm量产,明年7nm流片,三星明年上半年10nm量产,英特尔貌似遇到麻烦了10nm得18年量产[/quote]吹逼嘛 找个会做PPT的就行了
主营于是你就脑补成了流片是偏门业务?他们家流片无非就是不代工而已…别瞎脑补
我草这头像大成马啊
因为在32nm有一个非常明显的技术门槛,在这个制程下,芯片的漏电非常严重。Intel一个天才工程师或者说一个天才团队,用高K金属栅(HKMG)技术解决了这个问题。可以说开创了未来好几年i系列的辉煌。之后,Intel在22nm又率先将提出仅仅一年的3D晶体管技术投入量产。而且Intel的技术关键是稳定成熟,经常戏称台漏电,但几乎没爆过Intel芯片的问题。但进入10nm制程,又有一个严峻的技术挑战。传统的光刻技术在这个级别已经不能保证精确性,之前Intel准备的替代技术是极紫外光刻(EUV),但新技术成本高,不成熟,所以耗费了很多时间。但最近两年没有怎么关注,不知道Intel有没有搞出新技术。
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]heihei5418[/uid] ( 16:19)[/b][del]65nm[/del]90nm时候坑了一一阵子
但也就那么一次
[quote][pid=66005,1]Reply[/pid] [b]Post by [uid=]chinaISA[/uid] ( 16:20):[/b][b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=]heihei5418[/uid] ( 16:19)[/b]65nm时候坑了一一阵子
但也就那么一次[/quote]Intel 65nm没有任何问题只是在90nm坑过65nm有坑的是AMD直接导致了AMD把工厂卖给GF
[b]Reply to [pid=66005,1]Reply[/pid] Post by [uid=2504017]九十九龙介[/uid] ( 16:24)[/b]哦 那就是时间太长记错了 反正P4D prescott那代坑的
Intel上次坑还是P4末期,具体哪年记不清了。当时intel推出了一款除了主频一无是处的P4,后来高管当众下跪道歉,也算宣告了唯主频论的终结。从此CPU才走上了多核的路线每个时代都有一群爱“玩”的人,比如瓦特玩出了蒸汽机,……
近年来,以智能手机、智能硬件为代表的电子产品技术飞速……
提起GaN技术,就不得不说起MACOM。近日北京召开的EDI
近日,STM32中国峰会在深圳召开,意法半导体亚太区众位高……
演讲人:李安裕时间: 10:00:00
演讲人:李发存时间: 10:00:00
演讲人:谢亦峰时间: 10:00:00
预算:¥1,000-¥3,000预算:¥1,000-¥3,000
市场抉择极限 14nm制程是半导体业难以跨越的坎?
[导读]依照摩尔定律,全球半导体的工艺制程技术平均每两年进入一个新世代。从理性分析来看,业界都认为半导体业迟早会遇到技术上无法克服的物理极限,有人说是10nm,也有人说是7nm甚至是5nm。极限在哪里,最终将由市场做出
依照摩尔定律,全球半导体的工艺制程技术平均每两年进入一个新世代。从理性分析来看,业界都认为半导体业迟早会遇到技术上无法克服的物理极限,有人说是10nm,也有人说是7nm甚至是5nm。极限在哪里,最终将由市场做出选择,它不仅受限于技术因素,还受成本等综合因素的限制,因为即使在技术上可行,产品也未必能够受到业界的青睐。因此,目前为止权威人士预测,制程技术上的瓶颈可能出现在2018年左右。
可能是个坎
14nm制程可能是个坎,采用三次图形曝光技术或EUV光刻技术。
缩小线路工艺尺寸和扩大硅片直径是推动半导体业不断地进步的&两个轮子&,然而,目前这两个轮子都遇到了障碍。
工艺微缩技术正面临瓶颈。从原理上分析,采用任何波长的光源都可以得到分辨率为1/3波长的特征图形。业界依此原理开发出将光源从248nm转变成193nm的光刻设备,并用于65nm制程。另外又开发了多种提高分辨率的辅助技术,如相位移掩模版(PSMs),它是拓展193nm光刻能力的关键。PSMs的继续发展将依赖于更强的相位移和更复杂的特征结构,目前最基本的是6%嵌入式的PSMs。
要使相位移技术达到下一代工艺水平要求,掩模版类型主要有三种:补偿式相位移、两次曝光图形和可以进行低k1成像的一次曝光技术。目前两次图形曝光技术已趋于主流。之后设备厂开发出浸液式光刻技术,相当于将193nm波长缩短到134nm,从而提高了分辨率。业界试图找出比纯水折射指数更高的液体介质,但至今仍未遂愿。
目前利用193nm浸液式光刻技术加上两次图形曝光技术等已经成功实现了20nm工艺技术的量产。业界一致认为下一代14nm制程可能是个坎,要么采用更复杂的三次图形曝光技术,但会大幅增加曝光次数及成本;要么采用革命性的EUV光刻技术。
不同解决方案各有利弊,各家公司都在做出选择。英特尔倾向于采用三次图形曝光技术,并认为有可能把193nm浸液式光刻技术延伸至10nm甚至7nm。而IBM则坚信采用两次图形曝光技术可以缩小到10nm,并表示未来CMOS的尺寸缩小不会马上止步。至于EUV技术仍面临光源强度不够以及掩膜版等配套材料需完善的问题。与此同时,其他多种光刻技术如电子束直写等也引起业界关注。
450mm设备厂积极性不高
受限于摩尔定律趋向终结等因素,450mm硅片可能会推迟更替。
硅片直径也不可能无限扩大。目前,硅片直径正由300mm向450mm过渡。从理性思维出发,硅片直径增大是迟早会被采用的,但至今为何未被市场接受呢?可能有两方面原因:一是半导体设备厂的积极性不高,认为450mm设备200亿美元的研发成本可能无法收回;二是450mm硅片的建厂费用高达100亿美元。目前对450mm制造厂有兴趣的厂商仅英特尔、三星及台积电三家。
150mm的6英寸硅片产能在1999年左右开始下降,200mm硅片产能在2009年左右下降,表明接近10年为一个硅片尺寸发展周期,由此推算2019年应该发生450mm与300mm的硅片交替。目前受限于全球经济大环境及摩尔定律趋向终结等因素,450mm硅片可能会推迟更替。
为了迎接挑战,加快EUV光刻与450mm的进程,半导体产业链合作也在悄悄发生变化,如2012年ASML接受英特尔、台积电与三星的投资入股。
很难再现两位数以上增长
450mm硅片和EUV光刻尚需在技术手段及市场接受度方面取得突破。
受限于IC研发成本的高耸,许多顶级的IDM大厂开始拥抱代工,或转变成fabless。在尺寸缩小方面,可能会于14nm制程时受限,即便有一定的进展,未来由于成本太高,每两年上升一个工艺台阶的进程肯定会减缓。
虽然450mm硅片会伴随着14nm及以下制程推进,但是根据目前已公布的路线图显示,英特尔在2013年将开始投产20nm制程,2014年晋升至14nm,2015年将达到10nm(滞后于研发路线图)。而台积电的工艺路线图为16nm提前至2013年10月~12月投产,10nm技术在2015年投产,并声称从16nm开始采用Fin-FET结构。因此估计全球450mm硅片生产线最快可能于2015年有样片流出。
不管如何,全球半导体业自2010年增长32%之后,2011年及2012年连续两年踏步不前,今后在450mm硅片与EUV光刻未实现突破的情况下很难再实现两位数以上的增长。
未来半导体制造工艺可能还有两个&发动机&,分别是450mm硅片和EUV光刻。相对于EUV光刻,450mm硅片可能会走在前列。不过目前两者都遇到瓶颈,尚需在技术手段及市场接受度方面取得突破。
不久前我们才等来了 GSM 版 Galaxy Nexus 上的 CyanogenMod 9 稳定版,而现在所有支持 CM9 的设备也终于迎来稳定版的韧体更新了。这对所有期待 CyanogenMod 定制 Android 4.0 ROM 却......关键字:
台积电召开财报会议,董事长、被誉为台湾半导体教父的张忠谋亲自出席,执行CEO刘德音和魏哲家联席。2015年,台积电合并总营收额为 8434.97亿元新台币(约为1662.53亿元人民币),同比增长10.6%,税后净利3065.74亿元新......关键字:
IBM与合作伙伴成功研制出7纳米的测试芯片,延续了摩尔定律,突破了半导体产业的瓶颈。对于IBM而言,7纳米制程技术的后续发展将会影响旗下Power系列处理器的规划蓝图。
......关键字:
昨天,索尼移动CEO兼总裁HirokiTotoki表示,索尼永远不会出售或退出现有的移动业务,这意味着被传了很久的索尼将放弃手机业务只是一个谣传。HirokiTotoki表示,关于索尼将退出智能手机市场的猜测基本都是基于索尼移动2014年的......关键字:
虽然 Facebook 的 Mark Zuckerberg 公开表示要放弃用 HTML 5 来制作行动平台应用程式,但不代表 HTML 5 这个将网页程式码与影音资讯整合模组化的标准没有使用的价值。在网页多媒体资讯流量持续增长的情况之下,......关键字:
众所周知,目前全球最流行的设备莫过于智能手机,而其他类别的电子消费设备有所下降,尤其是 PC 市场。根据来自市场调研机构 Gartner 最新发布的报告显示,事实上,今年为止所有的消费类电子设备同比 2014 年仅增长仅 1.3%,并没有达......关键字:
雷电接口是目前Intel主推的传输规范,理论速度达到了10Gbps,在&菊花链&的架构下最多能够支持6组存储设备以及一组显示设备,拥有着相当不俗的潜能可供挖掘。愿望总是美好的,现实可就比较残酷了。雷电接......关键字:
格罗方德(GLOBALFOUNDRIES)28奈米制程投片试产的设计案已突破一百件。随着纽约八厂即将于明年开始上线运作,格罗方德已陆续接获客户投片试产的合作案,包括超微(AMD)、高通(Qualcomm)、意法半导体(ST)、Adaptev......关键字:
作为苹果A系列SoC的供应商,三星于本周宣布了下一代10nm芯片将于今年晚些时候投入生产的计划,据说新技术有助于提升10%的效能。Re/code的报道称,为了推动顶尖制造技术和生产设备,三星刚在硅谷与主要芯片制造商进行......关键字:
在交出一份糟糕的2014年第四季度财报之后,所有外界目光都开始等待,三星电子2015年将如何扭转连续5个季度的下滑颓势。或许是对市场的预计不足,也许是反弹效果暂时未能显现,三星电子为二季度财报给出的预期仍然不太乐观。曾经在安卓市场红极一时的......关键字:
你有没有想过把超极本 7mm 厚的硬盘拿出来放进硬盘盒里做成移动硬盘?现在看来至少东芝是这么想的,而且他们还把这个想法变成了现实。东芝旗下的 Canvio 系列行动硬碟最近迎来了新成员 Canvio Slim,这款产品的厚度仅......关键字:
欧盟刚刚通过了一条新的交通安全条例,要求在 2014 年开始,所有在欧盟新车都需要配备自动刹车系统(AEB -- autonomous emergency braking),没有配备这系统的汽车都不会从 Euro NCAP(汽车安全测试机......关键字:
尽管Ivy Bridge家族在前后夹击之下处境有些尴尬,但毕竟带来了22nm新工艺和3D晶体管新技术,而且新生产线的投产速度在历史上也是最快的,已经使得Ivy Bridge占据了消费级处理器出货量的四分之一。Intel CEO Paul O......关键字:
我 要 评 论
热门关键词

我要回帖

更多关于 半导体器件物理与工艺 的文章

 

随机推荐