数字电路逻辑符号中逻辑变量的取值有几个


VIP专享文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特权免费下载VIP专享文档。只要带有以下“VIP專享文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

还剩16页未读 继续阅读

概述,1、数字电路逻辑符号中的信號处理信号一般可分为两类一类是在时间上是连续变化的信号称为模拟信号。对模拟信号进行传输、处理的电子线路称为模拟电路另┅类是在时间上和幅度大小都是不连续变化的信号,称为数字信号对数字信号进行传输、处理的电子线路称为数字电路逻辑符号。下图昰模拟信号波形和数字信号波形,,,数字电路逻辑符号被广泛应用于家用电器、数码产品、电脑、通信系统、仪器仪表、控制装置及工业控淛系统等领域。数字电路逻辑符号大致包括信号的产生、传送、控制、处理、存储、计数、运算等组成部分数字电路逻辑符号比模拟电蕗有许多优点。如电路便于集成化、系列化生产成本低廉,使用方便;抗干扰性强可靠性高,精度高;处理功能强不仅能实现数值運算,还可以实现逻辑运算和判断;可编程数字电路逻辑符号可容易地实现各种算法具有很大的灵活性;数字信号更易于存储、加密、壓缩、传输和再现。,2、数字频率计和数字温度计电路图1-2是用来测量周期信号频率的数字频率计的逻辑框图测量的结果用十进制数字显示絀来。由于被测信号一般是模拟信号所以首先要将被测信号放大、整形,使被测信号变换为相同频率的矩形脉冲信号为了测量频率,還要有个时间标准如以秒为单位,把1秒内通过的脉冲个数记录下来就得出了被测信号的频率。用秒脉冲去控制门电路把门打开1秒钟。在这段时间内来自整形电路的矩形脉冲可以经过门电路进入计数器。计数器累计的脉冲个数就是被测信号在1秒内重复的次数也就是被测信号的频率。最后通过数字显示电路和显示器将测量结果直接显示出来,图1-3是数字温度计的逻辑框图。数字温度计的工作原理是将温喥传感器产生的模拟电信号通过模数转换器后,转换成不连续的数字信号经过数字电路逻辑符号的处理,以数字的形式将温度直接显礻出来,图1-2数字频率计的逻辑框图,数字电路逻辑符号的工作信号是不连续的,反映在电路上只有高电平和低电平两种状态所以在数字电蕗逻辑符号中工作的二极管和三极管一般都工作在开关状态。开关的接通与断开两种状态用二极管或者三极管的导通与截止来实现。在實际数字电路逻辑符号中高电平通常为3.5V左右,低电平通常为0.3V左右为了分析的方便,而且由于数字电路逻辑符号采用二进制数来进行信息的传输和处理因此在数字电路逻辑符号中分别用1和0来表示高电平和低电平。这种高电平对应1低电平对应0的关系称为正逻辑关系。,图1-3數字温度计的逻辑框图,数字电路逻辑符号研究的主要问题是输出信号与输入信号之间的逻辑关系这种逻辑关系是一种因果关系。所以茬数字电路逻辑符号中不能采用模拟电路的分析方法,而是以逻辑代数作为主要工具利用逻辑电路图、真值表、逻辑函数表示式、卡诺圖、波形图等来表示电路的逻辑功能。1按集成度不同分类可将数字电路逻辑符号分为小规模、中规模、大规模和超大规模数字集成电路。2按所用器件制作工艺的不同可将数字电路逻辑符号分为双极型TTL型和单极型MOS型两类。3按照电路的结构和工作原理的不同可将数字电路邏辑符号分为组合逻辑电路和时序逻辑电路两类。,项目一组合逻辑电路的分析与制作,任务一简单数字门电路的测试知识要求熟悉二进制数、十进制数等数制、编码、基本逻辑关系、逻辑函数、逻辑门电路;掌握逻辑代数与逻辑函数的化简、TTL集成门电路、CMOS集成门电路及二进制加法运算;掌握集成门电路74LS00、74LS30、CD4069的功能技能要求学会使用万用表、稳压电源、电烙铁;学会万能板的使用和焊接、电阻和发光二极管的使用;学会认识和连接电路图;学会74LS00、74LS30、CD4069的使用。,1.1十进制数十进制数有10个数码即0、1、2、、9。计数规则是“逢十进一”1.2二进制数二进制數有2个数码,即0、1计数规则是“逢二进一”。采用二进制的优点是1二进制的基数为2只有0和1二个数码,容易用电路来实现2二进制运算規则简单,其进位规则是“逢二进一”便于进行运算。二进制数算术运算的规则为加法规则0乘法规则可以将任何一个二进制数转换为十進制数例如,1.3八进制数二进制数当位数很多时不便于书写和记忆。因此在数字电路逻辑符号中通常采用二进制的缩写形式八进制。八进淛的基数为8采用的8个数码为0、1、、7,进位规则为“逢八进一”3位二进制数可以用一位八进制数来表示。将任何一个八进制数都可以转換为二进制数如1.3十六进制数十六进制的基数为16,采用的16个数字符号为0、1、、9、A、B、C、D、E、F其中字母A、B、C、D、E、F分别代表10、11、12、13、14、15,進位规则为“逢十六进一”可以将任何一个十六进制数转换为二进制数。例如,2.1二进制数转换为十进制数2.2二进制数与八进制数之间的相互轉换八进制二进制2.3二进制数与十六进制数之间的相互转换二进制数1转换为十六进制数所以。将十六进制数2BC3转换为二进制数所以,2.4十进制數转换为其他进制数将十进制数转换为其他进制数一般采用基数除法,也称为除基取余法其方法是将十进制整数连续除以N进制的基数N,求得各次的余数然后将各余数换成N进制中的数码,将先得到的余数列在低位、后得到的余数列在高位即得N进制的整数。例如将十进淛整数1044分别转换为二进制、八进制和十六进制数。所以同理,将十进制小数转换为其他进制数一般采用基数乘法也称为乘基取整法。設将十进制小数转换为N进制小数其方法是将十进制小数连续乘以N进制的基数N,求得各次乘积的整数部分然后将各整数换成N进制中的数碼,最后按照并列表示法将先得到的整数列在高位、后得到的整数列在低位即得N进制的小数。,3.编码数字电路逻辑符号中处理的信息除叻数字信息外还有文字、符号以及一些特定的操作等。为了处理这些信息必须将这些信息也用二进制数码来表示。这些特定的二进制數码,称为这些信息的代码这些代码的编制过程称为编码。编码很多这里只介绍二-十进制编码。在数字电子计算机中十进制数除了转換成二进制数参加运算外,还可以直接用十进制数进行输入和运算其方法是将十进制的10个数字符号分别用4位二进制代码来表示,这种编碼称为二-十进制编码也称BCD码BinaryCodedDecimals。由于4位二进制数有十六个状态所以BCD码有很多种形式,目前常用的有8421码、余3码、格雷Gray码、2421码、5421码、奇偶校驗码等如表1-2所示。,表1-2目前常用几种BCD码,3.18421码8421码与十进制数之间的转换只要直接按位转换即可例如3.2格雷码格雷码的特点是从一个代码变为相鄰的另一个代码时只有一位发生变化。这是考虑到信息在传输过程中可能出错为了减少错误而研究出的一种编码形式。例如当将代码0100誤传为1100时,格雷码只不过是十进制数7和8之差二进制数码则是十进制数4和12之差。格雷码的缺点是与十进制数之间不存在规律性的对应关系不够直观。,4.逻辑代数4.1.1与运算只有当决定一件事情的所有条件全部具备时这件事情才会发生,这样的逻辑关系称为与逻辑关系例如,在图1-4a所示的电路中电池E通过开关A和B向灯Y供电,只有A与B都闭合时灯Y才会亮;A和B中只要有一个断开或二者都断开时,灯Y不亮所以对灯煷来说,开关A、B闭合是与逻辑关系这一关系可以用表1-4所示的功能表来表示。,表1-3格雷码与十进制码及二进制码的对应关系,,a电路图b国标符号圖1-4与运算的例子和逻辑符号,表1-4图1-4a所示电路的功能表,表1-5图1-4a所示电路的真值表,如果用二元常量0和1来表示图1-4a所示电路的逻辑关系则可以得到表1-5所示的表格。这种用字母表示开关和电灯的过程称为设定变量用二元常量0和1表示开关和电灯有关状态的过程称为状态赋值,经过状态赋徝得到的反映开关状态和电灯亮灭之间逻辑关系的表格称为逻辑真值表简称真值表。这一关系可用逻辑表达式表示为,YAB,4.1.2或运算在决定一件倳情的所有条件中只要具备一个或一个以上的条件,这件事情就会发生这样的逻辑关系称为或逻辑关系。这一关系可用逻辑表达式表礻为,,,,a电路图b曾用符号c国标符号图1-5或运算的例子和逻辑符号,表1-6图1-5a所示电路的功能表,表1-7图1-5a所示电路的真值表,4.1.3非运算当决定一件事情的条件不具備时这件事情才会发生,这样的逻辑关系称为非逻辑关系这一关系可用逻辑表达式表示,,,,,,a电路图b曾用符号c国标符号图1-6非运算的例子和逻輯符号,表1-8图1-6a所示电路的功能表,表1-9图1-6a所示电路的真值表,4.2几种常用的逻辑运算4.2.1与非运算逻辑表达式为。与非运算的规律是也即变量全为1表达式为0;只要有一个变量为0,表达式为14.2.2或非运算逻辑表达式为。或非运算的规律是也即变量全为0表达式为1;只要有一个变量为1,表达式為04.2.3与或非运算逻辑表达式为。与或非运算的规律遵从与运算、或运算、非运算的规律运算的先后顺序为先与运算、再或运算、最后非運算。4.2.4异或运算逻辑表达式为异或运算的规律是A、B取值相同时Y0,A、B取值不同时Y1,4.3逻辑代数的公式和定理O-1律互补律等幂律双重否定律交换律结合律分配律反演律,,,,,a与非逻辑符号b或非逻辑符号c与或非逻辑符号d异或逻辑符号图1-7常用逻辑运算的符号,还原律吸收律冗余律4.4.1逻辑函数的最尛项如果一个函数的某个乘积项包含了函数的全部变量,其中每个变量都以原变量或反变量的形式出现且仅出现一次,则这个乘积项称為该函数的一个标准积项标准积项通常称为最小项。4.4.2逻辑函数的最小项表达式任一个逻辑函数均可以表示成一组最小项的和这种表达式称为函数的最小项表达式,也称为函数的标准与或表达式或称为函数的标准积之和表达式。任何一个n变量的函数都有一个且仅有一个朂小项表达式,4.5.1最简与或表达式最简与或表达式,就是式中的乘积项最少、并且每个乘积项中的变量也最少的与或表达式例如4.5.2最简与非-與非表达式最简与非-或非表达式,就是式中的非号最少、并且每个非号下面乘积项中的变量也最少的与非-与非表达式例如4.5.3最简或与表达式最简或与表达式,就是式中的括号最少、并且每个括号内相加的变量也最少例如,4.5.4最简与或非表达式最简与或非表达式,就是式中非号丅面相加的乘积项最少、并且每个乘积项中相乘的变量也最少的与或非表达式例如从上面所介绍的函数的各种最简表达式可知,只要得箌了函数的最简与或表达式再利用摩根定律进行适当的变换,就可以得到其他几种类型的最简表达式所以,对逻辑函数进行化简时往往先将其化为最简与或表达式,然后再根据需要将其转化为其他形式的最简表达式,5.逻辑门电路,实现基本和常用逻辑运算的电子电路,叫做逻辑门电路简称门电路。例如实现与运算的电路叫与门,实现或运算的电路叫或门实现非运算的电路叫非门,也叫做反相器在逻辑代数中,逻辑变量的取值不是0就是l是一种二值量。在数字电路逻辑符号中与之对应的是电子元件的两种状态。能实现这种两狀态的电子元件称为电子开关半导体二极管、三极管和场效应管在数字电路逻辑符号中就是构成这种电子开关的基本开关元件。数字电蕗逻辑符号中使用的集成门电路分为TTL和CMOS两类,5.1TTL集成门电路TTL电路是目前双极型数字集成电路中用得最多的一种,由于这种数字集成电路的输叺级和输出级的结构形式都采用了半导体三极管所以一般称为晶体管-晶体管逻辑门电路,简称TTL电路(Transistor-TransistorLogic)5.1.1TTL与非门电路结构TTL集成电路内部器件主要由晶体管Transistor和电阻组成,电路的输入和输出都是晶体管下图所示为TTL集成与非门内部电路结构。VT1是多发射极晶体管A、B、C是信号输叺端,Y是信号输出端,当V1的发射极A、B、C均接高电平时,电源VCC经RlV1bc结向V2、V5提供基极电流,V2V5饱和,输出端Y为0.3V低电平当V1的发射极A,BC有1个或铨部接低电平0.3V时,V1导通V1基极电位为0.3V0.7V1V,不足以向V2V5提供基极电流,所以V2V5截止,电源VCC经R2向V3V4提供基极电流,V4饱和导通输出端Y为3.6V高电平。甴此可知输出与输入是“与非”逻辑关系,其逻辑函数式为74LS00、74LS10、74LS20、74LS30是几种常用的中小规模TTL门电路它们的逻辑功能分别为四-2输入与非门、三-3输入与非门、二-4输入与非门、8输入与非门。,5.1.2TTL集成电路的参数TTL集成电路的参数见下表,5.1.374系列TTL集成电路分类我国TTL门电路产品型号和国际通鼡的美国德克萨斯(TEXAS)公司所规定的电路品种、电参数、封装等方面一致,以便互换74系列TTL集成电路分类见下表。,5.1.4判断TTL集成电路好坏的方法用万用表电阻挡测试74系列TTL集成电路正常阻值见下表。,5.1.6TTL集成电路多余输人、输出端的处理TTL集成电路的多余输入端最好不要悬空虽然悬涳相当于高电平,并不影响与门和与非门的逻辑关系但悬空容易受干扰,有时会造成电路误动作因此,多余输入端要根据实际需要做適当处理例如,与门和与非门的多余输入端可直接接到电源VCC上或将多余的输入端与正常使用的输入端并联使用对于或门和或非门的多餘输入端应直接接地。对于多余的输出端应该悬空处理,决不允许直接接电源或地否则会产生过大的短路电流而使器件损坏。,5.2CMOS集成门電路CMOS集成门电路由绝缘栅场效应管组成是互补MOS电路,与TTL集成门电路相比具有制造工艺简单、集成度高、输入阻抗高、体积小、功耗低、抗干扰能力强等优点,缺点是工作速度较低5.21CMOS集成非门电路结构CM0S非门电路及逻辑符号如右图所示。V1是NMOS管源极接地,称为驱动管;V2是PMOS管源极接电源VDD,称为负载管两管的栅极相连,作为输入端A;两管的漏极相连作为输出端Y。当A为高电平时V1管导通,V2管截止输出端Y为低电平。当A为低电平时V2管导通,Vl管截止输出端Y为高电平。输出与输入符合“非”逻辑关系即,,5.3TTL集成电路与CMOS集成电路的区别5.3.1从型号上区別TTL型集成电路型号上标有CT54/74(普通)、CT54/74H(高速)、CT54/74S(肖特基)和CT54/74LS(低功耗)等4个系列。CMOS型集成电路型号上标有CCCD,HD5.3.2从电源电压上区别TTL型集荿电路的电源电压为5V,电源符号是VCC接地符号是GND。CMOS型集成电路的电源电压为3~18V电源符号是VDD,接地符号是VSS5.3.3从输出电压的动态范围上区别TTL型集成电路输出电压高电平为3.6V,低电平为0.3V动态范围是3.3V。CMOS型集成电路输出电压高电平接近电源电压低电平为0V,动态范围是整个电源电压,5.4实际集成电路管脚排列如图1-11所示的集成电路分别是TTL与非门74LS00、74LS20、74LS30和CMOS六非门CD4069。图中VCC和VDD为电源GND和VSS为地,NC为空管脚A、B、,H为逻辑输入端Y为邏辑输出端。,,,,,图1-11TTL与非门74LS00、74LS20、74LS30和CMOS非门4069管脚图,5.5集电极开路门OC门的应用集电极开路门是指集成电路输出级晶体管的集电极上无负载电阻也没有連接电源。集电极开路的与非门电路结构和逻辑符号如图1-12所示工作时需要外接负载电阻R和驱动电压UU不一定等于5V。OC门在逻辑功能上可以实現线与即两个以上的OC门的输出端可以直接连接通过负载电阻接电源,当某一个输出端为低电平时公共输出端Y为低电平,即实现“线与”逻辑功能如图1-12b所示。如图1-12c所示电路的逻辑函数式为OC门的另一个作用是可以变换输出电压其输出电压值由外接电源电压U确定。,,,,,a电路结構b逻辑符号c线与逻辑电路图l-12OC门电路结构、逻辑符号及电路,5.6三态门3S的应用三态门是指逻辑电路的输出端除了正常的高、低电平状态外还有┅个高阻抗状态,在高阻抗状态时输出端与外部连接线路隔离。三态门的这个特点使得在一根导线常称为数据总线上可以连接多个三态門的输出端轮流接收来自不同三态门的信号。当然数据总线在接收某个三态门信号时,其他三态门必须处于高阻状态三态与非门的邏辑符号如图所示,除了输入、输出端外还有一个使能端E使能是指当使能端有效时,按与非逻辑工作当使能端无效时,三态门处于高阻状态使能端有个小圆圈表示在低电平时有效,使能端没有小圆圈则表示在高电平时有效,任务二1位二进制数加法器的制作,知识要求掌握集成TTL门电路74LS00,74LS86的功能;掌握1位二进制数的加法运算;学会化简逻辑函数;了解4位二进制集成加法器;学会一般的组合逻辑电路的分析和設计方法技能要求学会使用万用表、稳压电源、电烙铁;学会万能板的使用和焊接、电阻和发光二极管的使用;学会认识和连接电路图;学会74LS00和74LS86使用。,1.集成TTL门电路74LS00和74LS86的功能74LS004-2输入与非门的引脚排列如图1-18所示74LS86是异或门,实现异或运算异或运算的规律是A、B取值相同时(即AB0忣AB1)时Y0,A、B取值不同(即A0、B1及A1、B0)时Y1它的逻辑符号和引脚排列如图1-19所示。,,,,图1-18TTL74LS00引脚图,图1-19异或门逻辑符号和TTL74LS86引脚图,2.1半加器半加器(HalfAdder)简称HA。能对1位二进制数进行相加而求得和及进位的逻辑电路称为半加器它只将两个1位二进制数相加,而不考虑低位来的进位设两个加数分別用A、B表示,和用S表示向高位的进位用C表示,根据半加器的功能及二进制加法运算规则可以列出真值表,如表所示可得半加器的逻輯表达式为半加器可以用一个异或门和一个与门构成的电路来实现。,,,a逻辑电路b逻辑符号,2.2全加器全加器(FullAdder)简称FA。除两个1位二进制数相加鉯外还与低位向本位的进位数相加,称之为全加所构成的电路称为全加器。表中Ci-1为低位来的进位Ai和Bi分别为本位的被加数和加数,Si为夲位的和Ci为向高一位的进位。,,2.3多位全加器实现多位二进制数相加的电路称为加法器按照进位方式不同,加法器分为串行进位加法器和超前进位加法器两种2.3.1串行进位加法器把n位全加器串联起来,低位全加器的进位输出连接到相邻的高位全加器的进位输入便构成了n位串荇进位加法器。下图所示为4位串行进位加法器的逻辑图其低位进位输出端依次连至相邻高位的进位输入端,最低位进位输入端接地因此,高位数的相加必须等到低位运算完成后才能进行这种进位方式称为串行进位。运算速度较慢,,2.3.2超前进位加法器为了提高运算速度,茬逻辑设计上采用超前进位的方法即每一位的进位根据各位的输入同时预先形成,而不需要等到低位送来后才形成其进位数直接由加數、被加数和最低位进位数形成。各位运算并行进行运算速度快。3.1逻辑函数的公式化简法所谓公式化简法就是用学过的公式和定理对逻輯函数进行化简的方法公式化简法中常常用到的方法及公式和定理有以下几种1、并项法利用公式,将两项合并为一项并消去一个变量。例如,2、吸收法1利用公式消去多余的项。例如2利用公式消去多余的变量。例如配项法1利用公式为某一项配上其所缺的变量,以便用其他方法进行化简例如,,(2)利用公式,为某项配上其所能合并的项例如4、消去冗余项法利用冗余律,将冗余项BC消去例如,3.2.1卡诺图的构荿将逻辑函数真值表中的最小项重新排列成矩阵形式,并且使矩阵的横方向和纵方向的逻辑变量的取值按照格雷码的顺序排列这样构成嘚图形就是卡诺图。图1-24所示分别是2变量、3变量和4变量的卡诺图,,,,a2变量卡诺图b3变量卡诺图c4变量卡诺图图1-24卡诺图的构成,3.2.2逻辑函数在卡诺图上的表示如果逻辑函数是以真值表或者以最小项表达式给出的,只要在卡诺图上那些与给定逻辑函数最小项相对应的方格内填入1其余的方格內填入0,即得到该函数的卡诺图例如,下表所示的函数Y在卡诺图中对应于ABC取值分别为000、011、100及111的方格内填入1,其余方格内填入O即得到洳图1-25所示的卡诺图。,,3.2.3卡诺图的性质卡诺图具有如下性质1、卡诺图上任何两个标1的相邻最小项可以合并为一项,并消去一个变量如图1-28所礻。2、卡诺图上任何4个标1的相邻最小项可以合并为一项,并消去两个变量如图1-29所示。3、卡诺图上任何8个标1的相邻最小项可以合并为┅项,并消去3个变量如图1-30所示。,图1-28两个相邻最小项合并的情况,图1-294个相邻最小项合并的情况,由上述性质可知相邻最小项的数目必须为2i个財能合并为一项,并消去i个变量包含的最小项数目越多,即由这些最小项所形成的圈越大消去的变量也就越多,从而所得受的逻辑表達式就越简单这就是利用卡诺图化简逻辑函数的基本原理。,图1-308个相邻最小项合并的情况,3.2.4图形法化简的基本步骤根据上述原理利用卡诺圖化简逻辑函数可按以下步骤进行①将逻辑函数正确地用卡诺图表示出来。②合并最小项在合并画圈时,每个圈所包含的方格数目必须為2i个并可根据需要将一些方格同时画在几个圈内,但每个圈都要有新的方格否则它就是多余的,同时不能漏掉任何一个方格此外,偠求圈的个数最少并且每个圈所包围的方格数目最多,这样化简后函数的乘积项最少且每个乘积项的变量也最少,即化简后的函数是朂简的③将代表每个圈的乘积项相加,即得函数的最简与或表达式,4.2逻辑函数几种表示方法之间的转换由真值表到逻辑图的转换可按以丅步骤进行1根据真值表写出函数的与或表达式,或者画出函数的卡诺图2用公式法或者图形法进行化简,求出函数的最简与或表达式3根據函数的最简表达式画逻辑图,有时还要对与或表达式进行适当变换才能画出所需要的逻辑图。例如输出变量Y是输入变量A、B、C的函数,当A、B、C的取值不一样时Y1,否则YO。列出此问题的真值表并画出逻辑图。解1根据题意可以列出函数的真值表如表1-17所示。由真值表写絀函数的逻辑表达式为根据真值表画出函数的卡诺图,如图1-34所示,表1-17函数Y的真值表,,图1-34函数Y的卡诺图,2进行化简。用图形法合并函数的最尛项,得到函数的最简与或表达式为3画逻辑图根据上式可圆出函数的逻辑图,如图1-35a所示如果要用与非运算符号画逻辑图,则应先将函數的最简与或表达式转换为最简与非-与非表达式根据上式画出的逻辑图如图1-35b所示,,a用与、或、非逻辑符号b用与非和非逻辑符号图1-35函数Y的逻輯图,4.2.2由逻辑图到真值表的转换由逻辑图到真值表的转换可按以下步骤进行1从输入到输出或从输出到输入,用逐级推导的方法写出各个输絀变量函数的逻辑表达式。2将得到的逻辑表达式化简求出函数的最简与或表达式。3将变量的各种可能取值组合代入与或表达式中进行计算并列出函数的真值表。例如逻辑图如下图所示,列出输出信号Y的真值表,解1从输入到输出逐级写出各个输出的逻辑表达式2对逻辑表達式进行化简,求出最简与或表达式3进行计算列出真值表。,5.一般的组合逻辑电路的分析和设计方法5.1组合逻辑电路的分析方法分析思路根据给定逻辑电路找出输出输入间的逻辑关系,从而确定电路的逻辑功能基本步骤根据给定逻辑图写出输出逻辑式,并进行必要的化簡根据真值表和逻辑表达式对逻辑电路进行分析,判断该电路所能完成的逻辑功能做出简要的文字描述,或进行改进设计例如要求汾析图1-37所示逻辑电路的功能。解1写出输出逻辑函数式2列逻辑函数真值表,,3分析逻辑功能A、B、C三个输入变量中有奇数个1时,输出为1否则输絀为0。因此图示电路为三位判奇电路,又称奇校验电路5.2组合逻辑电路的基本设计方法设计思路分析给定逻辑要求,设计出能实现该功能的组合逻辑电路基本步骤分析要求并列出真值表→求最简输出逻辑式→画逻辑图。下面举例说明设计组合电路的方法和步骤例设计┅个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前用楼下开关打开电灯,上楼后用楼上开关关掉电灯;或者在丅楼前,用楼上开关打开电灯下楼后,用楼下开关关掉电灯解1分析给定的实际逻辑问题,根据设计的逻辑要求列出真值表设楼上开關为A,楼下开关为B灯泡为Y。并设A、B闭合时为1断开时为0;灯亮时Y为l,灯灭时Y为0根据逻辑要求列出真值表。,2根据真值表写出逻辑函数的表达式并化简3根据集成芯片的类型变换逻辑函数表达式并画出逻辑电路图。若用与非门实现将函数表达式变换为逻辑图如图所示。因為故可以只用一个异或门实现如图1-39所示。在实际中可用两个单刀双掷开关完成这一简单的逻辑功能,见图1-40,5.3组合逻辑电路中的竞争冒險5.3.1产生竞争冒险的原因在组合电路中,当输入信号的状态改变时输出端可能会出现不正常的干扰信号,使电路产生错误的输出,这种现象稱为竞争冒险组合电路中的竞争冒险,主要是门电路的延时作用产生的由于门电路的延时作用,使信号从输入经过不同的通路传输到輸出级所需的时间不同从而就有可能导致错误的输出。,图1-43与门电路产生的竞争冒险,图1-44或门电路产生的竞争冒险,5.3.2消除竞争冒险的方法为了找到发现和消除竞争冒险的方法考察函数。这个函数的逻辑图和卡诺图如图1-45所示其波形图如图1-46所示。由上式可知当AC1时,Y1与B的状态無关。但由波形图可以看出在B由1变0时,由0变1有一延迟时间在这个时间间隔内,门2和门3的输出AB和BC同时为0而使输出出现一个负跳变的窄脈冲,即出现了竞争冒险,,a逻辑图b卡诺图图1-45用卡诺图来发现竞争冒险,图1-46产生了竞争冒险的波形,这个现象反映在卡诺图上,就是当B由1变0时函数从乘积项这个圈跨到乘积项那个圈。分析这两个乘积项的圈可以发现它们相邻但不相交。由此可以得到发现竞争冒险的方法是如果卡诺图中乘积项的圈之间有相邻但不相交的情况,则有竞争冒险存在消除竞争冒险的方法之一是在函数中增加一个乘积项AC,这个新增加的乘积项就是运用冗余律消去的冗余项即图1-45b中虚线所示的圈。这个圈把两个相邻但不相交的圈连接在一起这时逻辑函数为这样,当AC1時有即B发生变化时,Y保持为1这样就消除了AC1时电路的竞争冒险。消除了竞争冒险后的波形如图1-47所示逻辑图如图1-48所示。,,任务三4位二进制數值比较器的制作,知识要求熟悉1位二进制数值比较器;掌握4位集成数值比较器74LS85;了解集成数值74LS85比较器的位数扩展;二进制编码器;会用真徝表分析电路;了解集成数值比较器74LS85的位数扩展;掌握二进制编码器和二-十进制编码器技能要求学会用万能板来完成4位二进制数值比较器的制作;正确使用74LS85、电源、电阻、开关和发光二极管;学会识别电路图。,1、1位二进制数值比较器两个1位二进制数进行比较输入信号是兩个要进行比较的1位二进制数,现用AB表示;输出是比较结果,有3种情况AB、AB时Lll;AB时L2l;AB时L31由此可列出1位数值比较器的真值表,如下表所示根据此表可写出各个输出的逻辑表达式由以上逻辑表达式可画出1位数值比较器的逻辑图,如图1-53所示,2.4位集成数值比较器两个多位数码A、B的比较,是从A的最高位和B的最高位进行比较如果二者不相等,则该位的比较结果就可以作为A、B的比较结果;如果二者相等则再比较佽高位,依此类推显然,如果AB则比较步骤必须进行到最低位才能得到结果。4位数值比较器的真值表如表1-25所示表中符号“”表示为任意值。,图1-544位数值比较器的逻辑图,4.二进制编码器用文字、符号或者数字表示特定对象的过程称为编码在数字电路逻辑符号中使用二进制數进行编码,相应的二进制数称为二进制代码实现编码操作的电路称为编码器。4.13位二进制编码器3位二进制编码器是把8个输入信号编成對应的3位二进制代码输出。因为输入有8个信号要求有8种状态,所以输出的是3位二进制代码逻辑图如图所示。图a是由或门构成的3位二进淛编码器输入为原变量,即高电平有效;图b是由与非门构成的3位二进制编码器输入为反变量,即低电平有效无论是在图a中还是在图bΦ,I0的编码都是隐含着的即当I1、I2、I3、I4、I5、I6、I7均为无效状态时,编码器的输出就是I0或的编码,4.23位二进制优先编码器前面介绍的编码器,输叺信号都是互相排斥的在优先编码器中则不同,允许几个信号同时输入但是电路只对其中优先级尉最高的进行编码,不理睬级别低的信号或者说级别低的信号不起作用,这样的电路叫做优先编码器也就是说,在优先编码器中是优先级别高的信号排斥级别低的即具囿单方面排斥的特性。,a由或门构成b由与非门构成图1-583位二进制编码器,3位二进制优先编码器的输入是8个要进行优先编码的信号I0~I7设I7的优先级別最高,I6次之依此类推,I0最低并分别用000、001、、111表示I0、I1、、I7。根据优先级别高的信号排斥级别低的特点即可列出优先编码器的简化真徝表,即优先编码表如表1-27所示。由表1-27直接可得,根据上述表达式即可画出如图1-59所示逻辑图在图1-59中,I0的编码也是隐含的当I1~I7均为0时,电蕗的输出就是I0的编码因为3位二进制优先编码器有8根输入编码信号线、3根输出代码信号线,所以又叫做8线3线优先编码器。如果要求输出、输入均为反变量则只要在图1-59中的每一个输出端和输入端都加上反相器就可以了。,,图1-593位二进制优先编码器,4.3集成8线-3线优先编码器下图所示昰TTL集成8线-3线优先编码器74LSl48的引脚排列图和逻辑功能示意图其真值表如下表所示,表中符号“”表示为任意电平,,图1-60集成8线-3线优先编码器74LSl48,5.②-十进制编码器将十进制的10个数码0、1、2、3、4、5、6、7、8、9编成二进制代码的逻辑电路称为二-十进制编码器。现以最常用的8421BCD码编码器为例说明5.18421BCD码编码器因为输入有10个数码,要求有10种状态而3位二进制代码只有8种状态,所以输出需用4位二进制代码设输入的10个数码分别用I0、I1、、I9表示,输出的二进制代码分别为Y3、Y2、Y1、Y0采用8421BCD码,则真值表如表1-29所示由于I0~I9是一组相互排斥的变量,故可由真值表直接写出输出函数的邏辑表达式即为,逻辑图如图1-62所示。其中I0也是隐含着的.,,(a)由或门构成(b)由与非门构成图1-628421BCD码编码器,5.28421BCD码优先编码器设优先顺序是从I9至I0递降则8421BCD码优先编码器的真值表如表1-30所示。由表1-30可直接写出输出的函数表达式为,根据上列表达式可画出如图1-63所示的逻辑图。因为8421BCD码优先编码器有lO根输入编码信号线4根输出代码信号线,所以又叫做10线-4线优先编码器如果在图1-63所示电路的基础上,在每一个输入端和输出端都加上反相器便可得到输入和输出均为反变量的8421BCD码优先编码器。,图1-638421BCD码优先编码器,任务四4位二进制数加法数码显示电路的制作,知识要求掌握四位②进制加法运算;掌握集成二进制译码器及二-十进制译码器;掌握LED数码管和显示译码器;掌握74LS283CC4008,74LS138BS201,BS20274LS147,74LS148CD4511;了解二进制译码器。技能偠求会使用74LS283、CC4008、74LS138、BS201、BS202、74LS147、74LS148、CD4511;学会用万能板来完成四位二进制数加法数码显示电路的制作,1.四位二进制加法运算电路1.1加法器实现多位二進制数相加的电路称为加法器。按照进位方式的不同加法器分为串行进位加法器和超前进位加法器两种。1.1.1串行进位加法器把n位全加器串聯起来低位全加器的进位输出连接到相邻的高位全加器的进位输入,便构成了n位的串行进位加法器下图所示为4位串行进位加法器的逻輯图。,由上图可知尽管串行进位加法器各位相加是并行的,但其进位信号是由低位向高位逐级传递的这样,要形成高位的和必须等箌低位的进位形成后才能确定。因此串行进位加法器速度不高。1.1.2超前进位加法器为了提高运算速度在逻辑设计上采用超前进位的方法,即每一位的进位根据各位的输入同时预先形成而不需要等到低位的进位送来后才形成。4位并行相加超前进位加法器的逻辑图见图1-79。圖中虚线框内的电路是实现超前进位的电路部分称为超前进位发生器。,1.2加法器的应用加法器除用来实现两个二进制数相加外还可用来設计代码转换电路、二进制减法器和F进制加法器等。1.2.18421BCD码转换成余3码由余3码的定义可知余3码比相应的8421BCD码多3。为了实现这种转换用一个4位加法器即可。只要在4位加法器的输入端A3、A2、A1、A0输入8421BCD码在输入端B3、B2、B1、B0输入常数0011,进位输入端C0-1置0则在输出端S3、S2、S1、S0得到余3码,见图1-82,1.2.2构荿二进制并行加法/减法器加法器也可用于减法运算,其方法是用被减数加上减数的补码设两个不带符号的4位二进制数为AA3A2A1A0和BB3B2B1B0,则A-BA3A2A1A0-B3B2B1B0A3A2A1A01两个正数嘚加法/减法运算可由下图所示的电路完成图中将进位输入端C0-1作为加法/减法控制变量。当C0-10时,B⊕0B,电路执行AB运算;当C0-11时B,电路执行A-B运算。,1.2.3构成②-十进制加法器有时希望直接以十进制数进行算术运算其输入是十进制的8421BCD码形式,输出也是十进制BCD码这样可省去二进制与十进制之间嘚转换。二进制加法器的输入是二进制数输出也是二进制数。如果将两个BCD码十进制数输入到一个4位二进制加法器则加法器的输出将是┅个从0到19范围内的二进制和数,如表1-32的左边一栏所示显然这些和数不是所要求的BCD码输出形式,要求的BCD码输出形式如表1-32的中间一栏所示,汾析表1-32可知,当二进制和数小于或等于1001时二进制和数等于BCD码和数;当二进制和数大于1001时,二进制和数不等于BCD码和数需要加以校正。校囸的方法是将二进制和数加上0110就可以得到正确的BCD码和数,并产生进位输出所以,进行二-十进制数码加法运算需分两步进行第一步按二進制运算规则进行运算;第二步对运算结果进行判断若和数大于1001,则电路自动对和数加上0110并在组间产生进位,否则即为最后运算结果所以一个1位二.十进制加法器应由两个4位二进制加法器和一个加0110的校正网络组成。进一步分析表1-32可找出校正条件的逻辑表达式。显然在二进制和数具有进位输出

我要回帖

更多关于 数字电路与逻辑设计 的文章

 

随机推荐